Department of Computer Science and Technology

Publications

Below is a list of my publications. These can also be found on my ACM author page, my IEEE Xplore author page, my DBLP page or my Google Scholar page. Where we have released data repositories with our papers, which is most of them since 2015, then these are linked against each publication below, or summarised on the open source code and data page. My research page groups publications by topic and provides a short commentary of the area.

2024

CGO 2024 paper OptiWISE: Combining Sampling and Instrumentation for Granular CPI Analysis
Yuxin Guo, Alex W. Chadwick, Márton Erdős, Utpal Bora, Ilias Vougioukas, Giacomo Gabrielli and Timothy M. Jones
International Symposium on Code Generation and Optimization (CGO), March 2024
Published version on IEEE Xplore

2023

MICRO 2023 paper Decoupled Vector Runahead
Ajeya Naithani, Jaime Roelandts, Sam Ainsworth, Timothy M. Jones and Lieven Eeckhout
International Symposium on Microarchitecture (MICRO), October 2023
Best paper award winner
Published version using ACM Author-Izer

2022

IEEEMicro 2022 paper Vector Runahead for Indirect Memory Accesses
Ajeya Naithani, Sam Ainsworth, Timothy M. Jones and Lieven Eeckhout
IEEE Micro, Volume 42 Issue 4, July–August 2022
Published version on IEEE Xplore

ASPLOS 2022 paper MineSweeper: A "Clean Sweep" for Drop-In Use-after-Free Prevention
Márton Erdős, Sam Ainsworth and Timothy M. Jones
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), February 2022
Published version using ACM Author-Izer
Data repository

2021

IISWC 2021 paper Quantifying the Semantic Gap Between Serial and Parallel Programming
Xiaochun Zhang, Timothy M. Jones and Simone Campanoni
International Symposium on Workload Characterization (IISWC), November 2021
Published version on IEEE Xplore

ISMM 2021 paper Compendia: Reducing Virtual-Memory Costs via Selective Densification
Sam Ainsworth and Timothy M. Jones
International Symposium on Memory Management (ISMM), June 2021
Published version using ACM Author-Izer
Data repository

ISCA 2021 SRV paper Speculative Vectorisation with Selective Replay
Peng Sun, Giacomo Gabrielli and Timothy M. Jones
International Symposium on Computer Architecture (ISCA), June 2021
Published version on IEEE Xplore
Data repository

ISCA 2021 Runahead paper Vector Runahead
Ajeya Naithani, Sam Ainsworth, Timothy M. Jones and Lieven Eeckhout
International Symposium on Computer Architecture (ISCA), June 2021
Selected for IEEE Micro Top Picks from the Computer Architecture Conferences
Published version on IEEE Xplore

HPCA 2021 paper ParaDox: Eliminating Voltage Margins via Heterogeneous Fault Tolerance
Sam Ainsworth, Lionel Zoubritzky, Alan Mycroft and Timothy M. Jones
International Symposium on High Performance Computer Architecture (HPCA), March 2021
Published version on IEEE Xplore
Data repository

CGO 2021 paper Cinnamon: A Domain-Specific Language for Binary Profiling and Monitoring
Mahwish Arif, Ruoyu Zhou, Hsi-Ming Ho and Timothy M. Jones
International Symposium on Code Generation and Optimization (CGO), March 2021
Published version on IEEE Xplore
Data repository

2020

IC 2020 paper Timed Hyperproperties
Hsi-Ming Ho, Ruoyu Zhou and Timothy M. Jones
Information and Computation (IC), November 2020

ICFP 2020 paper Duplo: A Framework for OCaml Post-Link Optimisation
Nandor Licker and Timothy M. Jones
International Conference on Functional Programming (ICFP), August 2020
Published version using ACM Author-Izer
Data repository

ISMM 2020 paper Prefetching in Functional Languages
Sam Ainsworth and Timothy M. Jones
International Symposium on Memory Management (ISMM), June 2020
Published version using ACM Author-Izer
Data repository

ISCA 2020 paper MuonTrap: Preventing Cross-Domain Spectre-Like Attacks by Capturing Speculative State
Sam Ainsworth and Timothy M. Jones
International Symposium on Computer Architecture (ISCA), June 2020
arXiv:1911.08384 [cs.CR]
Published version on IEEE Xplore
Data repository

SP 2020 MarkUs paper MarkUs: Drop-in use-after-free prevention for low-level languages
Sam Ainsworth and Timothy M. Jones
IEEE Symposium on Security and Privacy (S&P), May 2020
Published version on IEEE Xplore
Data repository
Blog post

SP 2020 Cornucopia paper Cornucopia: Temporal Safety for CHERI Heaps
Nathaniel W. Filardo, Jonathan Woodruff, Hongyan Xia, Lucian Paul-Trifu, Edward T. Napierala, Brett F. Gutstein, Brooks Davis, Alexander Richardson, Sam Ainsworth, John Baldwin, David Chisnall, Jessica Clarke, Khilan Gudka, Alexandre Joannou, A. Theodore Markettos, Alfredo Mazzinghi, Robert M. Norton, Michael Roe, Peter Sewell, Stacey Son, Timothy M. Jones, Simon W. Moore, Peter G. Neumann and Robert N. M. Watson
IEEE Symposium on Security and Privacy (S&P), May 2020
Published version on IEEE Xplore
Data repository

TOPC 2020 paper Software Prefetching for Unstructured Mesh Applications
Ioan Hadade, Timothy M. Jones, Feng Wang and Luca di Mare
ACM Transactions on Parallel Computing (TOPC), Volume 7 Issue 1, March 2020
Published version using ACM Author-Izer
Data repository

ASPLOS 2020 paper The Guardian Council: Parallel Programmable Hardware Security
Sam Ainsworth and Timothy M. Jones
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), March 2020
Published version using ACM Author-Izer
Data repository

CGO 2020 paper HALO: Post-Link Heap-Layout Optimisation
Joe Savage and Timothy M. Jones
International Symposium on Code Generation and Optimization (CGO), February 2020
Published version using ACM Author-Izer
Data repository

2019

MICRO 2019 paper CHERIvoke: Characterising Pointer Revocation using CHERI Capabilities for Temporal Memory Safety
Hongyan Xia, Jonathan Woodruff, Sam Ainsworth, Nathaniel W. Filardo, Michael Roe, Alexander Richardson, Peter Rugg, Peter G. Neumann, Simon W. Moore, Robert N. M. Watson and Timothy M. Jones
International Symposium on Microarchitecture (MICRO), October 2019
Published version using ACM Author-Izer
Data repository
Blog post

TIME 2019 paper On Verifying Timed Hyperproperties
Hsi-Ming Ho, Ruoyu Zhou and Timothy M. Jones
International Symposium on Temporal Representation and Reasoning (TIME), October 2019

DSN 2019 paper ParaMedic: Heterogeneous Parallel Error Correction
Sam Ainsworth and Timothy M. Jones
International Conference on Dependable Systems and Networks (DSN), June 2019
Published version on IEEE Xplore
Data repository

TOCS 2019 paper Software Prefetching for Indirect Memory Accesses: A Microarchitectural Perspective
Sam Ainsworth and Timothy M. Jones
ACM Transactions on Computer Systems (TOCS), Volume 36 Issue 3, June 2019
Published version using ACM Author-Izer
Data repository

VEE 2019 paper The Janus Triad: Exploiting Parallelism Through Dynamic Binary Modification
Ruoyu Zhou, George Wort, Márton Erdős and Timothy M. Jones
International Conference on Virtual Execution Environments (VEE), April 2019
Published version using ACM Author-Izer
Data repository
GitHub repository
Blog post

CGO 2019 paper Janus: Statically-Driven and Profile-Guided Automatic Dynamic Binary Parallelisation
Ruoyu Zhou and Timothy M. Jones
International Symposium on Code Generation and Optimization (CGO), February 2019
Published version on IEEE Xplore
Data repository
GitHub repository
Blog post

2018

IA3 2018 paper Software prefetching for unstructured mesh applications
Ioan Hadade, Timothy M. Jones, Feng Wang and Luca di Mare
Workshop on Irregular Applications: Architectures & Algorithms (IA3), November 2018
Published version on IEEE Xplore
Data repository

DSN 2018 paper Parallel Error Detection Using Heterogeneous Cores
Sam Ainsworth and Timothy M. Jones
International Conference on Dependable Systems and Networks (DSN), June 2018
Published version on IEEE Xplore
Data repository
Blog post

ASPLOS 2018 paper An Event-Triggered Programmable Prefetcher for Irregular Workloads
Sam Ainsworth and Timothy M. Jones
International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), March 2018
Published version using ACM Author-Izer
Data repository
Blog post

2017

CACM 2017 paper Automatically Accelerating Non-numerical Programs by Architecture-compiler Co-design
Simone Campanoni, Kevin Brownell, Svilen Kanev, Timothy M. Jones, Gu-Yeon Wei and David Brooks
Communications of the ACM (CACM), Volume 60 Number 12, December 2017
Published version using ACM Author-Izer or on CACM's website

DFT 2017 paper High Performance Fault Tolerance Through Predictive Instruction Re-Execution
Jyothish Soman and Timothy M. Jones
International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), October 2017
Published version on IEEE Xplore
Data repository

TVLSI 2017 paper On Microarchitectural Mechanisms for Cache Wearout Reduction
Alejandro Valero, Negar Miralaei, Salvador Petit, Julio Sahuquillo and Timothy M. Jones
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Volume 25 Issue 3, March 2017
Published version on IEEE Xplore
Data repository

CGO 2017 paper Software Prefetching for Indirect Memory Accesses
Sam Ainsworth and Timothy M. Jones
International Symposium on Code Generation and Optimization (CGO), February 2017
Published version on IEEE Xplore
Data repository
Blog post

2016

CASES 2016 paper COMET: Communication-Optimized Multi-threaded Error-detection Technique
Konstantina Mitropoulou, Vasileios Porpodas and Timothy M. Jones
International Conference on Compilers, Architectures and Synthesis of Embedded Systems (CASES), October 2016
Published version using ACM Author-Izer
Data repository

CAL 2016 paper Enhancing the L1 Data Cache Design to Mitigate HCI
Alejandro Valero, Negar Miralaei, Salvador Petit, Julio Sahuquillo and Timothy M. Jones
IEEE Computer Architecture Letters (CAL), Volume 15 Issue 2, July–December 2016
Published version on IEEE Xplore
Data repository

ICS 2016 Graph paper Graph Prefetching Using Data Structure Knowledge
Sam Ainsworth and Timothy M. Jones
International Conference on Supercomputing (ICS), June 2016
Published version using ACM Author-Izer
Data repository
Blog post

ICS 2016 Lynx paper Lynx: Using OS and Hardware Support for Fast Fine-Grained Inter-Core Communication
Konstantina Mitropoulou, Vasileios Porpodas, Xiaochun Zhang and Timothy M. Jones
International Conference on Supercomputing (ICS), June 2016
Published version using ACM Author-Izer
Data repository
Blog post

CC 2016 paper Performance Implications of Transient Loop-Carried Data Dependences in Automatically Parallelized Loops
Niall Murphy, Timothy M. Jones, Robert Mullins and Simone Campanoni
International Conference on Compiler Construction (CC), March 2016
Published version using ACM Author-Izer
Data repository

AISTECS 2016 paper Energy Efficient And Low Latency Interconnection Network For Multicast Invalidates In Shared Memory Systems
Muhammad Ridwan Madarbux, Anouk Van Laer, Philip M. Watts and Timothy M. Jones
International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems (AISTECS), January 2016
Published version using ACM Author-Izer

2015

PACT 2015 paper Throttling Automatic Vectorization: When Less Is More
Vasileios Porpodas and Timothy M. Jones
International Conference on Parallel Architectures and Compilation Techniques (PACT), October 2015
Published version on IEEE Xplore
Data repository
Blog post

DFT 2015 paper REPAIR: Hard-Error Recovery via Re-Execution
Jyothish Soman, Negar Miralaei, Alan Mycroft and Timothy M. Jones
International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), October 2015
Published version on IEEE Xplore
Data repository

DATE 2015 paper Coherence Based Message Prediction for Optically Interconnected Chip Multiprocessors
Anouk Van Laer, Chamath Ellawala, Muhammad Ridwan Madarbux, Philip M. Watts and Timothy M. Jones
Conference on Design, Automation and Test in Europe (DATE), March 2015

CGO 2015 paper PSLP: Padded SLP Automatic Vectorization
Vasileios Porpodas, Alberto Magni and Timothy M. Jones
International Symposium on Code Generation and Optimization (CGO), February 2015
Published version on IEEE Xplore

2014

CCPE 2014 paper Towards zero latency photonic switching in shared memory networks
Muhammad Ridwan Madarbux, Anouk Van Laer, Philip M. Watts and Timothy M. Jones
Concurrency and Computation: Practice and Experience (CCPE), Volume 26 Issue 15, October 2014
Published version on Wiley's website

ISCA 2014 paper HELIX-RC: An Architecture-Compiler Co-Design for Automatic Parallelization of Irregular Programs
Simone Campanoni, Kevin Brownell, Svilen Kanev, Timothy M. Jones, Gu-Yeon Wei and David Brooks
International Symposium on Computer Architecture (ISCA), June 2014
Published version on IEEE Xplore

DATE 2014 paper ALLARM: Optimizing Sparse Directories for Thread-Local Data
Amitabha Roy and Timothy M. Jones
Conference on Design, Automation and Test in Europe (DATE), February 2014
Published version on IEEE Xplore

SiPhotonics 2014 paper Towards Zero Latency Photonic Switching in Shared Memory Networks
Anouk Van Laer, Muhammad Ridwan Madarbux, Philip M. Watts and Timothy M. Jones
Workshop on Exploiting Silicon Photonics for Energy-Efficient Heterogeneous Parallel Architectures (SiPhotonics), January 2014

2013

TACO 2013 paper Dynamic Microarchitectural Adaptation Using Machine Learning
Christophe Dubach, Timothy M. Jones and Edwin V. Bonilla
ACM Transactions on Architecture and Code Optimization (TACO), Volume 10 Issue 4, December 2013
Published version using ACM Author-Izer

ICCD 2013 paper RECAP: Region-Aware Cache Partitioning
Karthik T. Sundararajan, Timothy M. Jones and Nigel P. Topham
International Conference on Computer Design (ICCD), October 2013
Published version on IEEE Xplore

IJPP 2013 paper The Smart Cache: An Energy-Efficient Cache Architecture Through Dynamic Adaptation
Karthik T. Sundararajan, Timothy M. Jones and Nigel P. Topham
International Journal of Parallel Programming, Volume 41 Number 2, April 2013

OFC 2013 paper Full System Simulation of Optically Interconnected Chip Multiprocessors Using gem5
Anouk Van Laer, Timothy M. Jones and Philip M. Watts
Optical Fiber Communication Conference (OFC), March 2013

SELSE 2013 paper Measuring Code Optimization Impact on Voltage Noise
Svilen Kanev, Timothy M. Jones, Gu-Yeon Wei, David Brooks and Vijay Janapa Reddi
Workshop on Silicon Errors in Logic - System Effects (SELSE), March 2013

2012

IEEEMicro 2012 paper HELIX: Making the Extraction of Thread-Level Parallelism Mainstream
Simone Campanoni, Timothy M. Jones, Glenn Holloway, Gu-Yeon Wei and David Brooks
IEEE Micro, Volume 32 Issue 4, July–August 2012
Published version on IEEE Xplore

TECS 2012 paper Exploring and Predicting the Effects of Microarchitectural Parameters and Compiler Optimizations on Performance and Energy
Christophe Dubach, Timothy M. Jones and Michael F. P. O'Boyle
ACM Transactions on Embedded Computing Systems (TECS), Volume 11S Number 1, June 2012
Published version using ACM Author-Izer

DAC 2012 paper The HELIX Project: Overview and Directions
Simone Campanoni, Timothy M. Jones, Glenn Holloway, Gu-Yeon Wei and David Brooks
Design Automation Conference (DAC), June 2012
Published version using ACM Author-Izer

CGO 2012 paper HELIX: Automatic Parallelization of Irregular Programs for Chip Multiprocessing
Simone Campanoni, Timothy M. Jones, Glenn Holloway, Vijay Janapa Reddi, Gu-Yeon Wei and David Brooks
International Symposium on Code Generation and Optimization (CGO), April 2012
Published version using ACM Author-Izer

HPCA 2012 paper Cooperative Partitioning: Energy-Efficient Cache Partitioning for High-Performance CMPs
Karthik T. Sundararajan, Vasileios Porpodas, Timothy M. Jones, Nigel P. Topham and Björn Franke
International Symposium on High Performance Computer Architecture (HPCA), February 2012
Published version on IEEE Xplore

HiPEAC 2012 paper The Migration Prefetcher: Anticipating Data Promotion in Dynamic NUCA Caches
Javier Lira, Timothy M. Jones, Carlos Molina and Antonio González
International Conference on High-Performance and Embedded Architectures and Compilers (HiPEAC)
ACM Transactions on Architecture and Code Optimization (TACO), Volume 8 Issue 4, January 2012
Published version using ACM Author-Izer

2011

TC 2011 paper An Empirical Architecture-Centric Approach to Microarchitectural Design Space Exploration
Christophe Dubach, Timothy M. Jones and Michael F. P. O'Boyle
IEEE Transactions on Computers, Volume 60 Number 10, October 2011
Published version on IEEE Xplore

SAMOS 2011 paper Smart Cache: A Self Adaptive Cache Architecture for Energy Efficiency
Karthik T. Sundararajan, Timothy M. Jones and Nigel Topham
International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), July 2011
Published version on IEEE Xplore

CGO 2011 paper Link-Time Optimisation for Power Efficiency in a Tagless Instruction Cache
Timothy M. Jones, Sandro Bartolini, Jonas Maebe and Dominique Chanet
International Symposium on Code Generation and Optimization (CGO), April 2011
Published version on IEEE Xplore

THiPEAC 2011 paper Compiler Directed Issue Queue Energy Reduction
Timothy M. Jones, Michael F. P. O'Boyle, Jaume Abella and Antonio González
Transactions on High-Performance Embedded Architectures and Compilers IV
Lecture Notes in Computer Science (LNCS), Volume 6760, 2011

2010

MICRO 2010 paper A Predictive Model for Dynamic Microarchitectural Adaptivity Control
Christophe Dubach, Timothy M. Jones, Edwin V. Bonilla and Michael F. P. O'Boyle
International Symposium on Microarchitecture (MICRO), December 2010
Published version on IEEE Xplore

2009

MICRO 2009 paper Portable Compiler Optimisation Across Embedded Programs and Microarchitectures using Machine Learning
Christophe Dubach, Timothy M. Jones, Edwin V. Bonilla, Grigori Fursin and Michael F. P. O'Boyle
International Symposium on Microarchitecture (MICRO), December 2009
Published version using ACM Author-Izer

ICCD 2009 paper Rapid Early-Stage Microarchitecture Design Using Predictive Models
Christophe Dubach, Timothy M. Jones and Michael F. P. O'Boyle
International Conference on Computer Design (ICCD), October 2009
Published version on IEEE Xplore

TACO 2009 RegCache paper Energy-Efficient Register Caching with Compiler Assistance
Timothy M. Jones, Michael F. P. O'Boyle, Jaume Abella, Antonio González and Oğuz Ergin
ACM Transactions on Architecture and Code Optimization (TACO), Volume 6 Issue 4, October 2009
Published version using ACM Author-Izer

TACO 2009 EarlyRelease paper Exploring the Limits of Early Register Release: Exploiting Compiler Analysis
Timothy M. Jones, Michael F. P. O'Boyle, Jaume Abella, Antonio González and Oğuz Ergin
ACM Transactions on Architecture and Code Optimization (TACO), Volume 6 Issue 4, October 2009
Published version using ACM Author-Izer

2008

CASES 2008 paper Exploring and Predicting the Architecture/Optimising Compiler Co-Design Space
Christophe Dubach, Timothy M. Jones and Michael F. P. O'Boyle
International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES), October 2008
Published version using ACM Author-Izer

DATE 2008 paper Instruction Cache Energy Saving Through Compiler Way-Placement
Timothy M. Jones, Sandro Bartolini, Bruno De Bus, John Cavazos and Michael F. P. O'Boyle
Conference on Design, Automation and Test in Europe (DATE), March 2008
Published version using ACM Author-Izer

INTERACT 2008 paper Evaluating the Effects of Compiler Optimisations on AVF
Timothy M. Jones, Michael F. P. O'Boyle and Oğuz Ergin
Workshop on the Interaction between Compilers and Computer Architecture (INTERACT), February 2008

2007

MICRO 2007 paper Microarchitectural Design Space Exploration Using An Architecture-Centric Approach
Christophe Dubach, Timothy M. Jones and Michael F. P. O'Boyle
International Symposium on Microarchitecture (MICRO), December 2007
Published version on IEEE Xplore

INTERACT 2007 paper Designing Efficient Processors Using Compiler-Directed Optimisations
Timothy M. Jones, Michael F. P. O'Boyle, Jaume Abella, Antonio González and Oğuz Ergin
Workshop on the Interaction between Compilers and Computer Architecture (INTERACT), February 2007

2006

PhDThesis Compiler-Directed Energy Savings in Superscalar Processors
Timothy M. Jones
PhD Thesis. School of Informatics, University of Edinburgh, 2006

2005

PACT 2005 paper Compiler Directed Early Register Release
Timothy M. Jones, Michael F. P. O'Boyle, Jaume Abella, Antonio González and Oğuz Ergin
International Conference on Parallel Architectures and Compilation Techniques (PACT), September 2005
Published version on IEEE Xplore

HPCA 2005 paper Software Directed Issue Queue Power Reduction
Timothy M. Jones, Michael F. P. O'Boyle, Jaume Abella and Antonio González
International Symposium on High Performance Computer Architecture (HPCA), February 2005
Published version on IEEE Xplore