// CBG Orangepath HPR L/S System // Verilog output file generated at 16/06/2016 18:31:56 // Kiwi Scientific Acceleration (KiwiC .net/CIL/C# to Verilog/SystemC compiler): Version alpha 2.15e(interim) : 15th-June-2016 Unix 3.16.0.30 // /home/djg11/d320/hprls/kiwipro/kiwic/distro/lib/kiwic.exe -give-backtrace -vnl=cuckoo_hash_demo.v cuckoo_hash_demo.exe -vnl-resets=synchronous -kiwic-cil-dump=combined -kiwic-kcode-dump=enable -res2-loadstore-port-count=0 -vnl-roundtrip=disable -bevelab-default-pause-mode=maximal -bevelab-soft-pause-threshold=15 -vnl-rootmodname=DUT `timescale 1ns/1ns module DUT(output reg [639:0] KppWaypoint0, output [639:0] KppWaypoint1, input clk, input reset); integer TTMT4Main_V_2; integer TTMT4Main_V_3; integer TTMT4Main_V_4; integer TTMT4Main_V_5; reg [63:0] TTMT4Main_V_6; integer TTMT4Main_V_7; integer TTMT4Main_V_8; integer TTMT4Main_V_9; integer TTMT4Main_V_10; integer TTMT4Main_V_11; reg [63:0] TTMT4Main_V_12; reg [63:0] TTMT4Main_V_13; integer TTMT4Main_V_14; integer TCCl0_12_V_0; integer TCCl0_12_V_1; reg [63:0] TDGe1_4_V_0; integer TCin1_9_V_0; integer TCin1_9_V_1; integer TCin1_9_V_2; integer TCin1_9_V_3; integer TCin1_9_V_4; integer TCin1_9_V_5; integer TCin1_9_V_6; integer TCin1_9_V_7; integer TCha6_10_V_0; reg [63:0] TDGe6_4_V_0; integer TClo6_9_V_0; integer TClo6_9_V_1; integer TClo6_9_V_2; integer TCha3_10_V_0; reg [63:0] fastspilldup30; integer TCl6_SPILL_256; reg [63:0] fastspilldup12; integer TCi1_SPILL_256; integer fastspilldup16; integer fastspilldup26; reg [63:0] A_64_US_CC_SCALbx28_dk; reg signed [31:0] A_sA_SINT_CC_SCALbx22_ARB0[3:0]; reg signed [31:0] A_sA_SINT_CC_SCALbx20_ARA0[3:0]; reg signed [31:0] A_SINT_CC_SCALbx28_seed; reg signed [31:0] A_SINT_CC_SCALbx24_waycap; reg signed [31:0] A_SINT_CC_SCALbx24_stats_lookups; reg signed [31:0] A_SINT_CC_SCALbx24_stats_lookup_probes; reg signed [31:0] A_SINT_CC_SCALbx24_next_free; reg signed [31:0] A_SINT_CC_SCALbx24_stats_inserts; reg signed [31:0] A_SINT_CC_SCALbx24_stats_insert_probes; reg signed [31:0] A_SINT_CC_SCALbx24_stats_insert_evictions; reg signed [31:0] A_SINT_CC_SCALbx24_next_victim; wire signed [31:0] A_SINT_CC_MAPR10NoCE3_ARA0_RDD0; reg [12:0] A_SINT_CC_MAPR10NoCE3_ARA0_AD0; reg A_SINT_CC_MAPR10NoCE3_ARA0_WEN0; reg A_SINT_CC_MAPR10NoCE3_ARA0_REN0; reg signed [31:0] A_SINT_CC_MAPR10NoCE3_ARA0_WRD0; wire signed [31:0] A_SINT_CC_MAPR10NoCE2_ARA0_RDD0; reg [12:0] A_SINT_CC_MAPR10NoCE2_ARA0_AD0; reg A_SINT_CC_MAPR10NoCE2_ARA0_WEN0; reg A_SINT_CC_MAPR10NoCE2_ARA0_REN0; reg signed [31:0] A_SINT_CC_MAPR10NoCE2_ARA0_WRD0; wire signed [31:0] A_SINT_CC_MAPR10NoCE1_ARA0_RDD0; reg [12:0] A_SINT_CC_MAPR10NoCE1_ARA0_AD0; reg A_SINT_CC_MAPR10NoCE1_ARA0_WEN0; reg A_SINT_CC_MAPR10NoCE1_ARA0_REN0; reg signed [31:0] A_SINT_CC_MAPR10NoCE1_ARA0_WRD0; wire signed [31:0] A_SINT_CC_MAPR10NoCE0_ARA0_RDD0; reg [12:0] A_SINT_CC_MAPR10NoCE0_ARA0_AD0; reg A_SINT_CC_MAPR10NoCE0_ARA0_WEN0; reg A_SINT_CC_MAPR10NoCE0_ARA0_REN0; reg signed [31:0] A_SINT_CC_MAPR10NoCE0_ARA0_WRD0; wire signed [31:0] A_SINT_CC_MAPR12NoCE3_ARB0_RDD0; reg [12:0] A_SINT_CC_MAPR12NoCE3_ARB0_AD0; reg A_SINT_CC_MAPR12NoCE3_ARB0_WEN0; reg A_SINT_CC_MAPR12NoCE3_ARB0_REN0; reg signed [31:0] A_SINT_CC_MAPR12NoCE3_ARB0_WRD0; wire signed [31:0] A_SINT_CC_MAPR12NoCE2_ARB0_RDD0; reg [12:0] A_SINT_CC_MAPR12NoCE2_ARB0_AD0; reg A_SINT_CC_MAPR12NoCE2_ARB0_WEN0; reg A_SINT_CC_MAPR12NoCE2_ARB0_REN0; reg signed [31:0] A_SINT_CC_MAPR12NoCE2_ARB0_WRD0; wire signed [31:0] A_SINT_CC_MAPR12NoCE1_ARB0_RDD0; reg [12:0] A_SINT_CC_MAPR12NoCE1_ARB0_AD0; reg A_SINT_CC_MAPR12NoCE1_ARB0_WEN0; reg A_SINT_CC_MAPR12NoCE1_ARB0_REN0; reg signed [31:0] A_SINT_CC_MAPR12NoCE1_ARB0_WRD0; wire signed [31:0] A_SINT_CC_MAPR12NoCE0_ARB0_RDD0; reg [12:0] A_SINT_CC_MAPR12NoCE0_ARB0_AD0; reg A_SINT_CC_MAPR12NoCE0_ARB0_WEN0; reg A_SINT_CC_MAPR12NoCE0_ARB0_REN0; reg signed [31:0] A_SINT_CC_MAPR12NoCE0_ARB0_WRD0; wire [63:0] A_64_US_CC_SCALbx26_ARA0_RDD0; reg [14:0] A_64_US_CC_SCALbx26_ARA0_AD0; reg A_64_US_CC_SCALbx26_ARA0_WEN0; reg A_64_US_CC_SCALbx26_ARA0_REN0; reg [63:0] A_64_US_CC_SCALbx26_ARA0_WRD0; wire isMODULUS10_rdy; reg isMODULUS10_req; wire [31:0] isMODULUS10_RR; reg [31:0] isMODULUS10_NN; reg [31:0] isMODULUS10_DD; wire isMODULUS10_err; reg xpc10_trk1; reg xpc10_trk0; reg xpc10_stall; reg xpc10_clear; reg signed [31:0] SINTCCMAPR10NoCE0ARA0RRh10hold; reg SINTCCMAPR10NoCE0ARA0RRh10shot0; reg signed [31:0] SINTCCMAPR10NoCE1ARA0RRh10hold; reg SINTCCMAPR10NoCE1ARA0RRh10shot0; reg signed [31:0] SINTCCMAPR10NoCE2ARA0RRh10hold; reg SINTCCMAPR10NoCE2ARA0RRh10shot0; reg signed [31:0] SINTCCMAPR10NoCE3ARA0RRh10hold; reg SINTCCMAPR10NoCE3ARA0RRh10shot0; reg isMODULUS10RRh10primed; reg isMODULUS10RRh10vld; reg signed [31:0] isMODULUS10RRh10hold; reg [63:0] Z64USCCSCALbx26ARA0RRh10hold; reg Z64USCCSCALbx26ARA0RRh10shot0; reg signed [31:0] SINTCCMAPR12NoCE0ARB0RRh10hold; reg SINTCCMAPR12NoCE0ARB0RRh10shot0; reg signed [31:0] SINTCCMAPR12NoCE1ARB0RRh10hold; reg SINTCCMAPR12NoCE1ARB0RRh10shot0; reg signed [31:0] SINTCCMAPR12NoCE2ARB0RRh10hold; reg SINTCCMAPR12NoCE2ARB0RRh10shot0; reg signed [31:0] SINTCCMAPR12NoCE3ARB0RRh10hold; reg SINTCCMAPR12NoCE3ARB0RRh10shot0; reg [9:0] xpc10nz; always @(* ) begin KppWaypoint0 = 0; A_SINT_CC_MAPR12NoCE0_ARB0_WRD0 = 0; A_SINT_CC_MAPR12NoCE1_ARB0_WRD0 = 0; A_SINT_CC_MAPR12NoCE2_ARB0_WRD0 = 0; A_SINT_CC_MAPR12NoCE3_ARB0_WRD0 = 0; A_64_US_CC_SCALbx26_ARA0_WRD0 = 0; isMODULUS10_NN = 0; isMODULUS10_DD = 0; A_64_US_CC_SCALbx26_ARA0_AD0 = 0; A_SINT_CC_MAPR12NoCE0_ARB0_AD0 = 0; A_SINT_CC_MAPR12NoCE1_ARB0_AD0 = 0; A_SINT_CC_MAPR12NoCE2_ARB0_AD0 = 0; A_SINT_CC_MAPR12NoCE3_ARB0_AD0 = 0; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = 0; A_SINT_CC_MAPR10NoCE0_ARA0_WRD0 = 0; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = 0; A_SINT_CC_MAPR10NoCE1_ARA0_WRD0 = 0; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = 0; A_SINT_CC_MAPR10NoCE2_ARA0_WRD0 = 0; A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = 0; A_SINT_CC_MAPR10NoCE3_ARA0_WRD0 = 0; A_SINT_CC_MAPR10NoCE0_ARA0_WEN0 = 0; A_SINT_CC_MAPR10NoCE1_ARA0_WEN0 = 0; A_SINT_CC_MAPR10NoCE2_ARA0_WEN0 = 0; A_SINT_CC_MAPR10NoCE3_ARA0_WEN0 = 0; A_SINT_CC_MAPR10NoCE0_ARA0_REN0 = 0; A_SINT_CC_MAPR10NoCE1_ARA0_REN0 = 0; A_SINT_CC_MAPR10NoCE2_ARA0_REN0 = 0; A_SINT_CC_MAPR10NoCE3_ARA0_REN0 = 0; isMODULUS10_req = 0; A_SINT_CC_MAPR12NoCE0_ARB0_WEN0 = 0; A_SINT_CC_MAPR12NoCE1_ARB0_WEN0 = 0; A_SINT_CC_MAPR12NoCE2_ARB0_WEN0 = 0; A_SINT_CC_MAPR12NoCE3_ARB0_WEN0 = 0; A_SINT_CC_MAPR12NoCE0_ARB0_REN0 = 0; A_SINT_CC_MAPR12NoCE1_ARB0_REN0 = 0; A_SINT_CC_MAPR12NoCE2_ARB0_REN0 = 0; A_SINT_CC_MAPR12NoCE3_ARB0_REN0 = 0; A_64_US_CC_SCALbx26_ARA0_WEN0 = 0; A_64_US_CC_SCALbx26_ARA0_REN0 = 0; if (!xpc10_stall) begin A_64_US_CC_SCALbx26_ARA0_REN0 = ((xpc10nz==9'd305/*US*/)? 1'd1: 1'd0); A_64_US_CC_SCALbx26_ARA0_WEN0 = ((xpc10nz==9'd381/*US*/)? 1'd1: 1'd0); A_SINT_CC_MAPR12NoCE3_ARB0_REN0 = ((xpc10nz==9'd303/*US*/) || (xpc10nz==9'd408/*US*/)? 1'd1: 1'd0); A_SINT_CC_MAPR12NoCE2_ARB0_REN0 = ((xpc10nz==9'd303/*US*/) || (xpc10nz==9'd408/*US*/)? 1'd1: 1'd0); A_SINT_CC_MAPR12NoCE1_ARB0_REN0 = ((xpc10nz==9'd303/*US*/) || (xpc10nz==9'd408/*US*/)? 1'd1: 1'd0); A_SINT_CC_MAPR12NoCE0_ARB0_REN0 = ((xpc10nz==9'd303/*US*/) || (xpc10nz==9'd408/*US*/)? 1'd1: 1'd0); A_SINT_CC_MAPR12NoCE3_ARB0_WEN0 = ((xpc10nz==9'd436/*US*/) || (xpc10nz==9'd497/*US*/)? 1'd1: 1'd0); A_SINT_CC_MAPR12NoCE2_ARB0_WEN0 = ((xpc10nz==9'd442/*US*/) || (xpc10nz==9'd503/*US*/)? 1'd1: 1'd0); A_SINT_CC_MAPR12NoCE1_ARB0_WEN0 = ((xpc10nz==9'd448/*US*/) || (xpc10nz==9'd509/*US*/)? 1'd1: 1'd0); A_SINT_CC_MAPR12NoCE0_ARB0_WEN0 = ((xpc10nz==9'd454/*US*/) || (xpc10nz==10'd515/*US*/)? 1'd1: 1'd0); isMODULUS10_req = ((xpc10nz==9'd322/*US*/) || (xpc10nz==10'd534/*US*/)? 1'd1: 1'd0); A_SINT_CC_MAPR10NoCE3_ARA0_REN0 = ((xpc10nz==9'd324/*US*/) || (xpc10nz==9'd406/*US*/) || (xpc10nz==10'd536/*US*/)? 1'd1 : 1'd0); A_SINT_CC_MAPR10NoCE2_ARA0_REN0 = ((xpc10nz==9'd324/*US*/) || (xpc10nz==9'd406/*US*/) || (xpc10nz==10'd536/*US*/)? 1'd1 : 1'd0); A_SINT_CC_MAPR10NoCE1_ARA0_REN0 = ((xpc10nz==9'd324/*US*/) || (xpc10nz==9'd406/*US*/) || (xpc10nz==10'd536/*US*/)? 1'd1 : 1'd0); A_SINT_CC_MAPR10NoCE0_ARA0_REN0 = ((xpc10nz==9'd324/*US*/) || (xpc10nz==9'd406/*US*/) || (xpc10nz==10'd536/*US*/)? 1'd1 : 1'd0); A_SINT_CC_MAPR10NoCE3_ARA0_WEN0 = ((xpc10nz==10'd543/*US*/) || (xpc10nz==9'd412/*US*/) || (xpc10nz==8'd138/*US*/) || (xpc10nz ==7'd86/*US*/) || (xpc10nz==7'd112/*US*/) || (xpc10nz==8'd164/*US*/) || (xpc10nz==9'd473/*US*/) || (xpc10nz==10'd582/*US*/)? 1'd1 : 1'd0); A_SINT_CC_MAPR10NoCE2_ARA0_WEN0 = ((xpc10nz==10'd549/*US*/) || (xpc10nz==9'd418/*US*/) || (xpc10nz==8'd144/*US*/) || (xpc10nz ==7'd92/*US*/) || (xpc10nz==7'd118/*US*/) || (xpc10nz==8'd170/*US*/) || (xpc10nz==9'd479/*US*/) || (xpc10nz==10'd588/*US*/)? 1'd1 : 1'd0); A_SINT_CC_MAPR10NoCE1_ARA0_WEN0 = ((xpc10nz==10'd555/*US*/) || (xpc10nz==9'd424/*US*/) || (xpc10nz==8'd150/*US*/) || (xpc10nz ==7'd98/*US*/) || (xpc10nz==7'd124/*US*/) || (xpc10nz==8'd176/*US*/) || (xpc10nz==9'd485/*US*/) || (xpc10nz==10'd594/*US*/)? 1'd1 : 1'd0); A_SINT_CC_MAPR10NoCE0_ARA0_WEN0 = ((xpc10nz==10'd561/*US*/) || (xpc10nz==9'd430/*US*/) || (xpc10nz==8'd156/*US*/) || (xpc10nz ==7'd104/*US*/) || (xpc10nz==8'd130/*US*/) || (xpc10nz==8'd182/*US*/) || (xpc10nz==9'd491/*US*/) || (xpc10nz==10'd600/*US*/)? 1'd1 : 1'd0); case (xpc10nz) // synthesis full_case 7'd86/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = 13'd0; end 7'd92/*US*/: begin A_SINT_CC_MAPR10NoCE2_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = 13'd0; end 7'd98/*US*/: begin A_SINT_CC_MAPR10NoCE1_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = 13'd0; end 7'd104/*US*/: begin A_SINT_CC_MAPR10NoCE0_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = 13'd0; end 7'd112/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = 13'd0; end 7'd118/*US*/: begin A_SINT_CC_MAPR10NoCE2_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = 13'd0; end 7'd124/*US*/: begin A_SINT_CC_MAPR10NoCE1_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = 13'd0; end 8'd130/*US*/: begin A_SINT_CC_MAPR10NoCE0_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = 13'd0; end 8'd138/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = 13'd0; end 8'd144/*US*/: begin A_SINT_CC_MAPR10NoCE2_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = 13'd0; end 8'd150/*US*/: begin A_SINT_CC_MAPR10NoCE1_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = 13'd0; end 8'd156/*US*/: begin A_SINT_CC_MAPR10NoCE0_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = 13'd0; end 8'd164/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = 13'd0; end 8'd170/*US*/: begin A_SINT_CC_MAPR10NoCE2_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = 13'd0; end 8'd176/*US*/: begin A_SINT_CC_MAPR10NoCE1_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = 13'd0; end 8'd182/*US*/: begin A_SINT_CC_MAPR10NoCE0_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = 13'd0; end 9'd303/*US*/: begin A_SINT_CC_MAPR12NoCE3_ARB0_AD0 = TClo6_9_V_1; A_SINT_CC_MAPR12NoCE2_ARB0_AD0 = TClo6_9_V_1; A_SINT_CC_MAPR12NoCE1_ARB0_AD0 = TClo6_9_V_1; A_SINT_CC_MAPR12NoCE0_ARB0_AD0 = TClo6_9_V_1; end endcase if ((xpc10nz==9'd305/*US*/)) A_64_US_CC_SCALbx26_ARA0_AD0 = TClo6_9_V_2; case (xpc10nz) // synthesis full_case 9'd322/*US*/: begin isMODULUS10_DD = A_SINT_CC_SCALbx24_waycap; isMODULUS10_NN = TCha3_10_V_0; end 9'd324/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = TClo6_9_V_1; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = TClo6_9_V_1; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = TClo6_9_V_1; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = TClo6_9_V_1; end 9'd381/*US*/: begin A_64_US_CC_SCALbx26_ARA0_WRD0 = TTMT4Main_V_6; A_64_US_CC_SCALbx26_ARA0_AD0 = TCin1_9_V_2; end 9'd406/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = TCin1_9_V_5; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = TCin1_9_V_5; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = TCin1_9_V_5; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = TCin1_9_V_5; end 9'd408/*US*/: begin A_SINT_CC_MAPR12NoCE3_ARB0_AD0 = TCin1_9_V_5; A_SINT_CC_MAPR12NoCE2_ARB0_AD0 = TCin1_9_V_5; A_SINT_CC_MAPR12NoCE1_ARB0_AD0 = TCin1_9_V_5; A_SINT_CC_MAPR12NoCE0_ARB0_AD0 = TCin1_9_V_5; end 9'd412/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = TCin1_9_V_5; end 9'd418/*US*/: begin A_SINT_CC_MAPR10NoCE2_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = TCin1_9_V_5; end 9'd424/*US*/: begin A_SINT_CC_MAPR10NoCE1_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = TCin1_9_V_5; end 9'd430/*US*/: begin A_SINT_CC_MAPR10NoCE0_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = TCin1_9_V_5; end 9'd436/*US*/: begin A_SINT_CC_MAPR12NoCE3_ARB0_WRD0 = TCin1_9_V_2; A_SINT_CC_MAPR12NoCE3_ARB0_AD0 = TCin1_9_V_5; end 9'd442/*US*/: begin A_SINT_CC_MAPR12NoCE2_ARB0_WRD0 = TCin1_9_V_2; A_SINT_CC_MAPR12NoCE2_ARB0_AD0 = TCin1_9_V_5; end 9'd448/*US*/: begin A_SINT_CC_MAPR12NoCE1_ARB0_WRD0 = TCin1_9_V_2; A_SINT_CC_MAPR12NoCE1_ARB0_AD0 = TCin1_9_V_5; end 9'd454/*US*/: begin A_SINT_CC_MAPR12NoCE0_ARB0_WRD0 = TCin1_9_V_2; A_SINT_CC_MAPR12NoCE0_ARB0_AD0 = TCin1_9_V_5; end 9'd473/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = TCin1_9_V_5; end 9'd479/*US*/: begin A_SINT_CC_MAPR10NoCE2_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = TCin1_9_V_5; end 9'd485/*US*/: begin A_SINT_CC_MAPR10NoCE1_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = TCin1_9_V_5; end 9'd491/*US*/: begin A_SINT_CC_MAPR10NoCE0_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = TCin1_9_V_5; end 9'd497/*US*/: begin A_SINT_CC_MAPR12NoCE3_ARB0_WRD0 = TCin1_9_V_2; A_SINT_CC_MAPR12NoCE3_ARB0_AD0 = TCin1_9_V_5; end 9'd503/*US*/: begin A_SINT_CC_MAPR12NoCE2_ARB0_WRD0 = TCin1_9_V_2; A_SINT_CC_MAPR12NoCE2_ARB0_AD0 = TCin1_9_V_5; end 9'd509/*US*/: begin A_SINT_CC_MAPR12NoCE1_ARB0_WRD0 = TCin1_9_V_2; A_SINT_CC_MAPR12NoCE1_ARB0_AD0 = TCin1_9_V_5; end 10'd515/*US*/: begin A_SINT_CC_MAPR12NoCE0_ARB0_WRD0 = TCin1_9_V_2; A_SINT_CC_MAPR12NoCE0_ARB0_AD0 = TCin1_9_V_5; end 10'd534/*US*/: begin isMODULUS10_DD = A_SINT_CC_SCALbx24_waycap; isMODULUS10_NN = TCha6_10_V_0; end 10'd536/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = TCin1_9_V_5; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = TCin1_9_V_5; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = TCin1_9_V_5; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = TCin1_9_V_5; end 10'd543/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = TCin1_9_V_5; end 10'd549/*US*/: begin A_SINT_CC_MAPR10NoCE2_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = TCin1_9_V_5; end 10'd555/*US*/: begin A_SINT_CC_MAPR10NoCE1_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = TCin1_9_V_5; end 10'd561/*US*/: begin A_SINT_CC_MAPR10NoCE0_ARA0_WRD0 = TCin1_9_V_0; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = TCin1_9_V_5; end 10'd582/*US*/: begin A_SINT_CC_MAPR10NoCE3_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE3_ARA0_AD0 = TCCl0_12_V_0; end 10'd588/*US*/: begin A_SINT_CC_MAPR10NoCE2_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE2_ARA0_AD0 = TCCl0_12_V_0; end 10'd594/*US*/: begin A_SINT_CC_MAPR10NoCE1_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE1_ARA0_AD0 = TCCl0_12_V_0; end 10'd600/*US*/: begin A_SINT_CC_MAPR10NoCE0_ARA0_WRD0 = 32'd0; A_SINT_CC_MAPR10NoCE0_ARA0_AD0 = TCCl0_12_V_0; end endcase if ((xpc10nz==8'd237/*US*/)) KppWaypoint0 = "Readback Done"; if ((xpc10nz==8'd221/*US*/)) KppWaypoint0 = "Data Entered"; if ((xpc10nz==8'd201/*US*/)) KppWaypoint0 = "Cach Cleared"; if ((xpc10nz==5'd20/*US*/)) KppWaypoint0 = "Start"; end end always @(posedge clk ) begin //Start structure HPR cuckoo_hash_demo.exe if (reset) begin TCha6_10_V_0 <= 32'd0; fastspilldup26 <= 32'd0; TCin1_9_V_7 <= 32'd0; TCin1_9_V_6 <= 32'd0; TCin1_9_V_4 <= 32'd0; TCin1_9_V_5 <= 32'd0; TCin1_9_V_3 <= 32'd0; fastspilldup16 <= 32'd0; TTMT4Main_V_7 <= 32'd0; TCi1_SPILL_256 <= 32'd0; TCin1_9_V_2 <= 32'd0; TCin1_9_V_1 <= 32'd0; TCin1_9_V_0 <= 32'd0; TTMT4Main_V_6 <= 64'd0; TDGe1_4_V_0 <= 64'd0; fastspilldup12 <= 64'd0; TTMT4Main_V_5 <= 32'd0; TCha3_10_V_0 <= 32'd0; TClo6_9_V_2 <= 32'd0; TClo6_9_V_0 <= 32'd0; TClo6_9_V_1 <= 32'd0; TTMT4Main_V_14 <= 32'd0; TCl6_SPILL_256 <= 32'd0; TTMT4Main_V_13 <= 64'd0; TTMT4Main_V_12 <= 64'd0; TDGe6_4_V_0 <= 64'd0; fastspilldup30 <= 64'd0; TTMT4Main_V_11 <= 32'd0; TTMT4Main_V_10 <= 32'd0; TTMT4Main_V_9 <= 32'd0; TTMT4Main_V_8 <= 32'd0; TTMT4Main_V_4 <= 32'd0; TTMT4Main_V_3 <= 32'd0; TTMT4Main_V_2 <= 32'd0; A_64_US_CC_SCALbx28_dk <= 64'd0; A_SINT_CC_SCALbx28_seed <= 32'd0; TCCl0_12_V_1 <= 32'd0; TCCl0_12_V_0 <= 32'd0; A_SINT_CC_SCALbx24_waycap <= 32'd0; A_SINT_CC_SCALbx24_stats_lookup_probes <= 32'd0; A_SINT_CC_SCALbx24_stats_lookups <= 32'd0; A_SINT_CC_SCALbx24_stats_insert_evictions <= 32'd0; A_SINT_CC_SCALbx24_stats_insert_probes <= 32'd0; A_SINT_CC_SCALbx24_stats_inserts <= 32'd0; A_SINT_CC_SCALbx24_next_victim <= 32'd0; A_SINT_CC_SCALbx24_next_free <= 32'd0; Z64USCCSCALbx26ARA0RRh10hold <= 64'd0; SINTCCMAPR12NoCE3ARB0RRh10hold <= 32'd0; SINTCCMAPR12NoCE2ARB0RRh10hold <= 32'd0; SINTCCMAPR12NoCE1ARB0RRh10hold <= 32'd0; SINTCCMAPR12NoCE0ARB0RRh10hold <= 32'd0; isMODULUS10RRh10primed <= 1'd0; isMODULUS10RRh10vld <= 1'd0; isMODULUS10RRh10hold <= 32'd0; SINTCCMAPR10NoCE3ARA0RRh10hold <= 32'd0; SINTCCMAPR10NoCE2ARA0RRh10hold <= 32'd0; SINTCCMAPR10NoCE1ARA0RRh10hold <= 32'd0; SINTCCMAPR10NoCE0ARA0RRh10hold <= 32'd0; SINTCCMAPR10NoCE0ARA0RRh10shot0 <= 1'd0; SINTCCMAPR10NoCE1ARA0RRh10shot0 <= 1'd0; SINTCCMAPR10NoCE2ARA0RRh10shot0 <= 1'd0; SINTCCMAPR10NoCE3ARA0RRh10shot0 <= 1'd0; SINTCCMAPR12NoCE0ARB0RRh10shot0 <= 1'd0; SINTCCMAPR12NoCE1ARB0RRh10shot0 <= 1'd0; SINTCCMAPR12NoCE2ARB0RRh10shot0 <= 1'd0; SINTCCMAPR12NoCE3ARB0RRh10shot0 <= 1'd0; Z64USCCSCALbx26ARA0RRh10shot0 <= 1'd0; xpc10_trk1 <= 1'd0; xpc10_trk0 <= 1'd0; xpc10nz <= 10'd0; end else begin if (!xpc10_stall) case (xpc10nz) // synthesis full_case 5'd22/*US*/: $display("Cuckoo cache testbench start. Capacity=%1d", 16'h_8000); 5'd29/*US*/: A_SINT_CC_SCALbx24_next_free <= 32'd0; 5'd30/*US*/: A_SINT_CC_SCALbx24_next_victim <= 32'd0; 5'd31/*US*/: A_SINT_CC_SCALbx24_stats_inserts <= 32'd0; 6'd32/*US*/: A_SINT_CC_SCALbx24_stats_insert_probes <= 32'd0; 6'd33/*US*/: A_SINT_CC_SCALbx24_stats_insert_evictions <= 32'd0; 6'd34/*US*/: A_SINT_CC_SCALbx24_stats_lookups <= 32'd0; 6'd35/*US*/: A_SINT_CC_SCALbx24_stats_lookup_probes <= 32'd0; 6'd36/*US*/: A_SINT_CC_SCALbx24_waycap <= 32'h_2000; 6'd41/*US*/: A_sA_SINT_CC_SCALbx20_ARA0[0] <= 32'd0; 6'd45/*US*/: A_sA_SINT_CC_SCALbx20_ARA0[1'd1] <= 32'd1; 6'd49/*US*/: A_sA_SINT_CC_SCALbx20_ARA0[2'd2] <= 32'd2; 6'd53/*US*/: A_sA_SINT_CC_SCALbx20_ARA0[2'd3] <= 32'd3; 6'd60/*US*/: A_sA_SINT_CC_SCALbx22_ARB0[0] <= 32'd0; 7'd64/*US*/: A_sA_SINT_CC_SCALbx22_ARB0[1'd1] <= 32'd1; 7'd68/*US*/: A_sA_SINT_CC_SCALbx22_ARB0[2'd2] <= 32'd2; 7'd72/*US*/: A_sA_SINT_CC_SCALbx22_ARB0[2'd3] <= 32'd3; 7'd78/*US*/: TCCl0_12_V_0 <= 32'd0; 7'd81/*US*/: TCCl0_12_V_1 <= 32'd0; 7'd108/*US*/: TCCl0_12_V_1 <= 32'd1; 8'd134/*US*/: TCCl0_12_V_1 <= 32'd2; 8'd160/*US*/: TCCl0_12_V_1 <= 32'd3; 8'd186/*US*/: TCCl0_12_V_1 <= 32'd4; 8'd191/*US*/: TCCl0_12_V_0 <= 32'd1+TCCl0_12_V_0; 8'd199/*US*/: $display("Cuckoo cache cleared"); 8'd204/*US*/: A_SINT_CC_SCALbx28_seed <= 32'h1_e240; 8'd205/*US*/: A_64_US_CC_SCALbx28_dk <= 64'h23_86f2_69cb_1f00; 8'd207/*US*/: A_SINT_CC_SCALbx28_seed <= 32'h1_e240; 8'd208/*US*/: A_64_US_CC_SCALbx28_dk <= 64'h23_86f2_69cb_1f00; 8'd209/*US*/: TTMT4Main_V_2 <= 32'd0; 8'd210/*US*/: TTMT4Main_V_3 <= 32'd0; 8'd211/*US*/: TTMT4Main_V_4 <= 32'd0; 8'd219/*US*/: $display("Cuckoo cache inserted items %1d/%1d", TTMT4Main_V_3, TTMT4Main_V_2); 8'd223/*US*/: A_SINT_CC_SCALbx28_seed <= 32'h1_e240; 8'd224/*US*/: A_64_US_CC_SCALbx28_dk <= 64'h23_86f2_69cb_1f00; 8'd225/*US*/: TTMT4Main_V_8 <= 32'd0; 8'd226/*US*/: TTMT4Main_V_9 <= 32'd0; 8'd227/*US*/: TTMT4Main_V_10 <= 32'd0; 8'd235/*US*/: $display("Cuckoo cache inserted items %1d/%1d", TTMT4Main_V_9, TTMT4Main_V_8); 8'd239/*US*/: $display("cuckoo cache: this=%1d, inserts=%1d, lookups=%1d", 0, A_SINT_CC_SCALbx24_stats_inserts, A_SINT_CC_SCALbx24_stats_lookups ); 8'd241/*US*/: $display("cuckoo cache: insert_probes=%1d, insert_evictions=%1d", A_SINT_CC_SCALbx24_stats_insert_probes , A_SINT_CC_SCALbx24_stats_insert_evictions); 8'd243/*US*/: $display("cuckoo cache: lookup_probes=%1d", A_SINT_CC_SCALbx24_stats_lookup_probes); 8'd245/*US*/: $display("Cuckoo cache demo finished."); 8'd247/*US*/: $finish(0); 8'd250/*US*/: A_SINT_CC_SCALbx28_seed <= 32'h_2aa0_1d31+32'h_7ff8_a3ed*A_SINT_CC_SCALbx28_seed; 8'd251/*US*/: TTMT4Main_V_11 <= A_SINT_CC_SCALbx28_seed; 8'd253/*US*/: fastspilldup30 <= A_64_US_CC_SCALbx28_dk; 8'd254/*US*/: TDGe6_4_V_0 <= fastspilldup30; 8'd255/*US*/: A_64_US_CC_SCALbx28_dk <= 64'h1+fastspilldup30; 9'd256/*US*/: TTMT4Main_V_12 <= TDGe6_4_V_0; 9'd258/*US*/: A_SINT_CC_SCALbx24_stats_lookups <= 32'd1+A_SINT_CC_SCALbx24_stats_lookups; 9'd259/*US*/: TTMT4Main_V_13 <= 64'h0; 9'd264/*US*/: TCl6_SPILL_256 <= -32'd4; 9'd268/*US*/: TTMT4Main_V_14 <= TCl6_SPILL_256; 9'd277/*US*/: TTMT4Main_V_9 <= 32'd1+TTMT4Main_V_9; 9'd281/*US*/: TTMT4Main_V_8 <= 32'd1+TTMT4Main_V_8; 9'd282/*US*/: TTMT4Main_V_10 <= 32'd1+TTMT4Main_V_10; 9'd286/*US*/: TClo6_9_V_1 <= 32'd0; 9'd287/*US*/: TClo6_9_V_0 <= 32'd0; 9'd299/*US*/: TCl6_SPILL_256 <= -32'd5; 9'd304/*US*/: TClo6_9_V_2 <= ((A_sA_SINT_CC_SCALbx22_ARB0[TClo6_9_V_0]==2'd3/*MS*/)? ((xpc10nz==9'd304/*US*/)? A_SINT_CC_MAPR12NoCE3_ARB0_RDD0 : SINTCCMAPR12NoCE3ARB0RRh10hold): ((A_sA_SINT_CC_SCALbx22_ARB0[TClo6_9_V_0]==2'd2/*MS*/)? ((xpc10nz==9'd304/*US*/)? A_SINT_CC_MAPR12NoCE2_ARB0_RDD0 : SINTCCMAPR12NoCE2ARB0RRh10hold): ((A_sA_SINT_CC_SCALbx22_ARB0[TClo6_9_V_0]==1'd1/*MS*/)? ((xpc10nz==9'd304/*US*/)? A_SINT_CC_MAPR12NoCE1_ARB0_RDD0 : SINTCCMAPR12NoCE1ARB0RRh10hold): ((A_sA_SINT_CC_SCALbx22_ARB0[TClo6_9_V_0]==0/*MS*/)? ((xpc10nz==9'd304/*US*/)? A_SINT_CC_MAPR12NoCE0_ARB0_RDD0 : SINTCCMAPR12NoCE0ARB0RRh10hold): 32'bx)))); 9'd306/*US*/: TTMT4Main_V_13 <= ((xpc10nz==9'd306/*US*/)? A_64_US_CC_SCALbx26_ARA0_RDD0: Z64USCCSCALbx26ARA0RRh10hold ); 9'd307/*US*/: TCl6_SPILL_256 <= 32'd0; 9'd312/*US*/: A_SINT_CC_SCALbx24_stats_lookup_probes <= 32'd1+A_SINT_CC_SCALbx24_stats_lookup_probes; 9'd313/*US*/: TCha3_10_V_0 <= TTMT4Main_V_11+32'd51*TClo6_9_V_0; 9'd318/*US*/: TCha3_10_V_0 <= (0-TCha3_10_V_0); 9'd323/*US*/: TClo6_9_V_1 <= (isMODULUS10RRh10vld? isMODULUS10RRh10hold: isMODULUS10_RR); 9'd332/*US*/: TClo6_9_V_0 <= 32'd1+TClo6_9_V_0; 9'd336/*US*/: A_SINT_CC_SCALbx28_seed <= 32'h_2aa0_1d31+32'h_7ff8_a3ed*A_SINT_CC_SCALbx28_seed; 9'd337/*US*/: TTMT4Main_V_5 <= A_SINT_CC_SCALbx28_seed; 9'd339/*US*/: fastspilldup12 <= A_64_US_CC_SCALbx28_dk; 9'd340/*US*/: TDGe1_4_V_0 <= fastspilldup12; 9'd341/*US*/: A_64_US_CC_SCALbx28_dk <= 64'h1+fastspilldup12; 9'd342/*US*/: TTMT4Main_V_6 <= TDGe1_4_V_0; 9'd343/*US*/: TCin1_9_V_0 <= TTMT4Main_V_5; 9'd344/*US*/: TCin1_9_V_1 <= 32'd0; 9'd345/*US*/: TCin1_9_V_2 <= 32'd0; 9'd350/*US*/: TCi1_SPILL_256 <= -32'd4; 9'd354/*US*/: TTMT4Main_V_7 <= TCi1_SPILL_256; 9'd359/*US*/: TTMT4Main_V_3 <= 32'd1+TTMT4Main_V_3; 9'd363/*US*/: TTMT4Main_V_2 <= 32'd1+TTMT4Main_V_2; 9'd364/*US*/: TTMT4Main_V_4 <= 32'd1+TTMT4Main_V_4; 9'd372/*US*/: TCi1_SPILL_256 <= -32'd2; 9'd377/*US*/: fastspilldup16 <= A_SINT_CC_SCALbx24_next_free; 9'd378/*US*/: TCin1_9_V_3 <= fastspilldup16; 9'd379/*US*/: A_SINT_CC_SCALbx24_next_free <= 32'd1+fastspilldup16; 9'd380/*US*/: TCin1_9_V_2 <= TCin1_9_V_3; 9'd384/*US*/: A_SINT_CC_SCALbx24_stats_inserts <= 32'd1+A_SINT_CC_SCALbx24_stats_inserts; 9'd388/*US*/: TCin1_9_V_5 <= 32'd0; 9'd389/*US*/: TCin1_9_V_4 <= 32'd0; 9'd401/*US*/: $display("Eviction %1d needed", TCin1_9_V_1); 9'd403/*US*/: TCin1_9_V_1 <= 32'd1+TCin1_9_V_1; 9'd405/*US*/: A_SINT_CC_SCALbx24_stats_insert_evictions <= 32'd1+A_SINT_CC_SCALbx24_stats_insert_evictions; 9'd407/*US*/: TCin1_9_V_6 <= ((A_sA_SINT_CC_SCALbx20_ARA0[A_SINT_CC_SCALbx24_next_victim]==2'd3/*MS*/)? ((xpc10nz ==9'd407/*US*/)? A_SINT_CC_MAPR10NoCE3_ARA0_RDD0: SINTCCMAPR10NoCE3ARA0RRh10hold): ((A_sA_SINT_CC_SCALbx20_ARA0 [A_SINT_CC_SCALbx24_next_victim]==2'd2/*MS*/)? ((xpc10nz==9'd407/*US*/)? A_SINT_CC_MAPR10NoCE2_ARA0_RDD0: SINTCCMAPR10NoCE2ARA0RRh10hold ): ((A_sA_SINT_CC_SCALbx20_ARA0[A_SINT_CC_SCALbx24_next_victim]==1'd1/*MS*/)? ((xpc10nz==9'd407/*US*/)? A_SINT_CC_MAPR10NoCE1_ARA0_RDD0 : SINTCCMAPR10NoCE1ARA0RRh10hold): ((A_sA_SINT_CC_SCALbx20_ARA0[A_SINT_CC_SCALbx24_next_victim]==0/*MS*/)? ((xpc10nz ==9'd407/*US*/)? A_SINT_CC_MAPR10NoCE0_ARA0_RDD0: SINTCCMAPR10NoCE0ARA0RRh10hold): 32'bx)))); 9'd409/*US*/: TCin1_9_V_7 <= ((A_sA_SINT_CC_SCALbx22_ARB0[A_SINT_CC_SCALbx24_next_victim]==2'd3/*MS*/)? ((xpc10nz ==9'd409/*US*/)? A_SINT_CC_MAPR12NoCE3_ARB0_RDD0: SINTCCMAPR12NoCE3ARB0RRh10hold): ((A_sA_SINT_CC_SCALbx22_ARB0 [A_SINT_CC_SCALbx24_next_victim]==2'd2/*MS*/)? ((xpc10nz==9'd409/*US*/)? A_SINT_CC_MAPR12NoCE2_ARB0_RDD0: SINTCCMAPR12NoCE2ARB0RRh10hold ): ((A_sA_SINT_CC_SCALbx22_ARB0[A_SINT_CC_SCALbx24_next_victim]==1'd1/*MS*/)? ((xpc10nz==9'd409/*US*/)? A_SINT_CC_MAPR12NoCE1_ARB0_RDD0 : SINTCCMAPR12NoCE1ARB0RRh10hold): ((A_sA_SINT_CC_SCALbx22_ARB0[A_SINT_CC_SCALbx24_next_victim]==0/*MS*/)? ((xpc10nz ==9'd409/*US*/)? A_SINT_CC_MAPR12NoCE0_ARB0_RDD0: SINTCCMAPR12NoCE0ARB0RRh10hold): 32'bx)))); 9'd458/*US*/: TCin1_9_V_0 <= TCin1_9_V_6; 9'd459/*US*/: TCin1_9_V_2 <= TCin1_9_V_7; 9'd461/*US*/: fastspilldup26 <= 32'd1+A_SINT_CC_SCALbx24_next_victim; 9'd462/*US*/: TCin1_9_V_3 <= fastspilldup26; 9'd463/*US*/: A_SINT_CC_SCALbx24_next_victim <= fastspilldup26; 9'd464/*US*/: A_SINT_CC_SCALbx24_next_victim <= (TCin1_9_V_3%3'd4); 10'd519/*US*/: TCi1_SPILL_256 <= 32'd0; 10'd524/*US*/: A_SINT_CC_SCALbx24_stats_insert_probes <= 32'd1+A_SINT_CC_SCALbx24_stats_insert_probes; 10'd525/*US*/: TCha6_10_V_0 <= TCin1_9_V_0+32'd51*TCin1_9_V_4; 10'd530/*US*/: TCha6_10_V_0 <= (0-TCha6_10_V_0); 10'd535/*US*/: TCin1_9_V_5 <= (isMODULUS10RRh10vld? isMODULUS10RRh10hold: isMODULUS10_RR); 10'd568/*US*/: TCin1_9_V_4 <= 32'd1+TCin1_9_V_4; 10'd572/*US*/: TCCl0_12_V_1 <= 32'd0; 10'd604/*US*/: TCCl0_12_V_1 <= 32'd1+TCCl0_12_V_1; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==0/*MS*/)) case (xpc10nz) // synthesis full_case 9'd489/*US*/: xpc10nz <= 9'd490/*US*/; 9'd490/*US*/: xpc10nz <= 9'd491/*xpc10nz*/; 10'd559/*US*/: xpc10nz <= 10'd560/*US*/; 10'd560/*US*/: xpc10nz <= 10'd561/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd489/*US*/: xpc10nz <= 9'd494/*US*/; 9'd490/*US*/: xpc10nz <= 9'd493/*US*/; 10'd559/*US*/: xpc10nz <= 9'd395/*US*/; 10'd560/*US*/: xpc10nz <= 9'd395/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==1'd1/*MS*/)) case (xpc10nz) // synthesis full_case 9'd483/*US*/: xpc10nz <= 9'd484/*US*/; 9'd484/*US*/: xpc10nz <= 9'd485/*xpc10nz*/; 10'd553/*US*/: xpc10nz <= 10'd554/*US*/; 10'd554/*US*/: xpc10nz <= 10'd555/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd483/*US*/: xpc10nz <= 9'd488/*US*/; 9'd484/*US*/: xpc10nz <= 9'd487/*US*/; 10'd553/*US*/: xpc10nz <= 10'd558/*US*/; 10'd554/*US*/: xpc10nz <= 10'd557/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==2'd2/*MS*/)) case (xpc10nz) // synthesis full_case 9'd477/*US*/: xpc10nz <= 9'd478/*US*/; 9'd478/*US*/: xpc10nz <= 9'd479/*xpc10nz*/; 10'd547/*US*/: xpc10nz <= 10'd548/*US*/; 10'd548/*US*/: xpc10nz <= 10'd549/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd477/*US*/: xpc10nz <= 9'd482/*US*/; 9'd478/*US*/: xpc10nz <= 9'd481/*US*/; 10'd547/*US*/: xpc10nz <= 10'd552/*US*/; 10'd548/*US*/: xpc10nz <= 10'd551/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==2'd3/*MS*/)) case (xpc10nz) // synthesis full_case 9'd471/*US*/: xpc10nz <= 9'd472/*US*/; 9'd472/*US*/: xpc10nz <= 9'd473/*xpc10nz*/; 10'd541/*US*/: xpc10nz <= 10'd542/*US*/; 10'd542/*US*/: xpc10nz <= 10'd543/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd471/*US*/: xpc10nz <= 9'd476/*US*/; 9'd472/*US*/: xpc10nz <= 9'd475/*US*/; 10'd541/*US*/: xpc10nz <= 10'd546/*US*/; 10'd542/*US*/: xpc10nz <= 10'd545/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[TCCl0_12_V_1]==0/*MS*/)) case (xpc10nz) // synthesis full_case 10'd598/*US*/: xpc10nz <= 10'd599/*US*/; 10'd599/*US*/: xpc10nz <= 10'd600/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 10'd598/*US*/: xpc10nz <= 10'd603/*US*/; 10'd599/*US*/: xpc10nz <= 10'd602/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[TCCl0_12_V_1]==1'd1/*MS*/)) case (xpc10nz) // synthesis full_case 10'd592/*US*/: xpc10nz <= 10'd593/*US*/; 10'd593/*US*/: xpc10nz <= 10'd594/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 10'd592/*US*/: xpc10nz <= 10'd597/*US*/; 10'd593/*US*/: xpc10nz <= 10'd596/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[TCCl0_12_V_1]==2'd2/*MS*/)) case (xpc10nz) // synthesis full_case 10'd586/*US*/: xpc10nz <= 10'd587/*US*/; 10'd587/*US*/: xpc10nz <= 10'd588/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 10'd586/*US*/: xpc10nz <= 10'd591/*US*/; 10'd587/*US*/: xpc10nz <= 10'd590/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[TCCl0_12_V_1]==2'd3/*MS*/)) case (xpc10nz) // synthesis full_case 10'd580/*US*/: xpc10nz <= 10'd581/*US*/; 10'd581/*US*/: xpc10nz <= 10'd582/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 10'd580/*US*/: xpc10nz <= 10'd585/*US*/; 10'd581/*US*/: xpc10nz <= 10'd584/*US*/; endcase if ((A_sA_SINT_CC_SCALbx22_ARB0[TCin1_9_V_4]==0/*MS*/)) case (xpc10nz) // synthesis full_case 10'd513/*US*/: xpc10nz <= 10'd514/*US*/; 10'd514/*US*/: xpc10nz <= 10'd515/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 10'd513/*US*/: xpc10nz <= 10'd518/*US*/; 10'd514/*US*/: xpc10nz <= 10'd517/*US*/; endcase if ((A_sA_SINT_CC_SCALbx22_ARB0[TCin1_9_V_4]==1'd1/*MS*/)) case (xpc10nz) // synthesis full_case 9'd507/*US*/: xpc10nz <= 9'd508/*US*/; 9'd508/*US*/: xpc10nz <= 9'd509/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd507/*US*/: xpc10nz <= 10'd512/*US*/; 9'd508/*US*/: xpc10nz <= 9'd511/*US*/; endcase if ((A_sA_SINT_CC_SCALbx22_ARB0[TCin1_9_V_4]==2'd2/*MS*/)) case (xpc10nz) // synthesis full_case 9'd501/*US*/: xpc10nz <= 9'd502/*US*/; 9'd502/*US*/: xpc10nz <= 9'd503/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd501/*US*/: xpc10nz <= 9'd506/*US*/; 9'd502/*US*/: xpc10nz <= 9'd505/*US*/; endcase if ((A_sA_SINT_CC_SCALbx22_ARB0[TCin1_9_V_4]==2'd3/*MS*/)) case (xpc10nz) // synthesis full_case 9'd495/*US*/: xpc10nz <= 9'd496/*US*/; 9'd496/*US*/: xpc10nz <= 9'd497/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd495/*US*/: xpc10nz <= 9'd500/*US*/; 9'd496/*US*/: xpc10nz <= 9'd499/*US*/; endcase if ((A_sA_SINT_CC_SCALbx22_ARB0[A_SINT_CC_SCALbx24_next_victim]==0/*MS*/)) case (xpc10nz) // synthesis full_case 9'd452/*US*/: xpc10nz <= 9'd453/*US*/; 9'd453/*US*/: xpc10nz <= 9'd454/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd452/*US*/: xpc10nz <= 9'd457/*US*/; 9'd453/*US*/: xpc10nz <= 9'd456/*US*/; endcase if ((A_sA_SINT_CC_SCALbx22_ARB0[A_SINT_CC_SCALbx24_next_victim]==1'd1/*MS*/)) case (xpc10nz) // synthesis full_case 9'd446/*US*/: xpc10nz <= 9'd447/*US*/; 9'd447/*US*/: xpc10nz <= 9'd448/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd446/*US*/: xpc10nz <= 9'd451/*US*/; 9'd447/*US*/: xpc10nz <= 9'd450/*US*/; endcase if ((A_sA_SINT_CC_SCALbx22_ARB0[A_SINT_CC_SCALbx24_next_victim]==2'd2/*MS*/)) case (xpc10nz) // synthesis full_case 9'd440/*US*/: xpc10nz <= 9'd441/*US*/; 9'd441/*US*/: xpc10nz <= 9'd442/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd440/*US*/: xpc10nz <= 9'd445/*US*/; 9'd441/*US*/: xpc10nz <= 9'd444/*US*/; endcase if ((A_sA_SINT_CC_SCALbx22_ARB0[A_SINT_CC_SCALbx24_next_victim]==2'd3/*MS*/)) case (xpc10nz) // synthesis full_case 9'd434/*US*/: xpc10nz <= 9'd435/*US*/; 9'd435/*US*/: xpc10nz <= 9'd436/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd434/*US*/: xpc10nz <= 9'd439/*US*/; 9'd435/*US*/: xpc10nz <= 9'd438/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[A_SINT_CC_SCALbx24_next_victim]==0/*MS*/)) case (xpc10nz) // synthesis full_case 9'd428/*US*/: xpc10nz <= 9'd429/*US*/; 9'd429/*US*/: xpc10nz <= 9'd430/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd428/*US*/: xpc10nz <= 9'd433/*US*/; 9'd429/*US*/: xpc10nz <= 9'd432/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[A_SINT_CC_SCALbx24_next_victim]==1'd1/*MS*/)) case (xpc10nz) // synthesis full_case 9'd422/*US*/: xpc10nz <= 9'd423/*US*/; 9'd423/*US*/: xpc10nz <= 9'd424/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd422/*US*/: xpc10nz <= 9'd427/*US*/; 9'd423/*US*/: xpc10nz <= 9'd426/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[A_SINT_CC_SCALbx24_next_victim]==2'd2/*MS*/)) case (xpc10nz) // synthesis full_case 9'd416/*US*/: xpc10nz <= 9'd417/*US*/; 9'd417/*US*/: xpc10nz <= 9'd418/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd416/*US*/: xpc10nz <= 9'd421/*US*/; 9'd417/*US*/: xpc10nz <= 9'd420/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[A_SINT_CC_SCALbx24_next_victim]==2'd3/*MS*/)) case (xpc10nz) // synthesis full_case 9'd410/*US*/: xpc10nz <= 9'd411/*US*/; 9'd411/*US*/: xpc10nz <= 9'd412/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 9'd410/*US*/: xpc10nz <= 9'd415/*US*/; 9'd411/*US*/: xpc10nz <= 9'd414/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[2'd3]==0/*MS*/)) case (xpc10nz) // synthesis full_case 8'd180/*US*/: xpc10nz <= 8'd181/*US*/; 8'd181/*US*/: xpc10nz <= 8'd182/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 8'd180/*US*/: xpc10nz <= 8'd185/*US*/; 8'd181/*US*/: xpc10nz <= 8'd184/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[2'd3]==1'd1/*MS*/)) case (xpc10nz) // synthesis full_case 8'd174/*US*/: xpc10nz <= 8'd175/*US*/; 8'd175/*US*/: xpc10nz <= 8'd176/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 8'd174/*US*/: xpc10nz <= 8'd179/*US*/; 8'd175/*US*/: xpc10nz <= 8'd178/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[2'd3]==2'd2/*MS*/)) case (xpc10nz) // synthesis full_case 8'd168/*US*/: xpc10nz <= 8'd169/*US*/; 8'd169/*US*/: xpc10nz <= 8'd170/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 8'd168/*US*/: xpc10nz <= 8'd173/*US*/; 8'd169/*US*/: xpc10nz <= 8'd172/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[2'd3]==2'd3/*MS*/)) case (xpc10nz) // synthesis full_case 8'd162/*US*/: xpc10nz <= 8'd163/*US*/; 8'd163/*US*/: xpc10nz <= 8'd164/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 8'd162/*US*/: xpc10nz <= 8'd167/*US*/; 8'd163/*US*/: xpc10nz <= 8'd166/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[2'd2]==0/*MS*/)) case (xpc10nz) // synthesis full_case 8'd154/*US*/: xpc10nz <= 8'd155/*US*/; 8'd155/*US*/: xpc10nz <= 8'd156/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 8'd154/*US*/: xpc10nz <= 8'd159/*US*/; 8'd155/*US*/: xpc10nz <= 8'd158/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[2'd2]==1'd1/*MS*/)) case (xpc10nz) // synthesis full_case 8'd148/*US*/: xpc10nz <= 8'd149/*US*/; 8'd149/*US*/: xpc10nz <= 8'd150/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 8'd148/*US*/: xpc10nz <= 8'd153/*US*/; 8'd149/*US*/: xpc10nz <= 8'd152/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[2'd2]==2'd2/*MS*/)) case (xpc10nz) // synthesis full_case 8'd142/*US*/: xpc10nz <= 8'd143/*US*/; 8'd143/*US*/: xpc10nz <= 8'd144/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 8'd142/*US*/: xpc10nz <= 8'd147/*US*/; 8'd143/*US*/: xpc10nz <= 8'd146/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[2'd2]==2'd3/*MS*/)) case (xpc10nz) // synthesis full_case 8'd136/*US*/: xpc10nz <= 8'd137/*US*/; 8'd137/*US*/: xpc10nz <= 8'd138/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 8'd136/*US*/: xpc10nz <= 8'd141/*US*/; 8'd137/*US*/: xpc10nz <= 8'd140/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[1'd1]==0/*MS*/)) case (xpc10nz) // synthesis full_case 8'd128/*US*/: xpc10nz <= 8'd129/*US*/; 8'd129/*US*/: xpc10nz <= 8'd130/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 8'd128/*US*/: xpc10nz <= 8'd133/*US*/; 8'd129/*US*/: xpc10nz <= 8'd132/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[1'd1]==1'd1/*MS*/)) case (xpc10nz) // synthesis full_case 7'd122/*US*/: xpc10nz <= 7'd123/*US*/; 7'd123/*US*/: xpc10nz <= 7'd124/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 7'd122/*US*/: xpc10nz <= 7'd127/*US*/; 7'd123/*US*/: xpc10nz <= 7'd126/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[1'd1]==2'd2/*MS*/)) case (xpc10nz) // synthesis full_case 7'd116/*US*/: xpc10nz <= 7'd117/*US*/; 7'd117/*US*/: xpc10nz <= 7'd118/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 7'd116/*US*/: xpc10nz <= 7'd121/*US*/; 7'd117/*US*/: xpc10nz <= 7'd120/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[1'd1]==2'd3/*MS*/)) case (xpc10nz) // synthesis full_case 7'd110/*US*/: xpc10nz <= 7'd111/*US*/; 7'd111/*US*/: xpc10nz <= 7'd112/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 7'd110/*US*/: xpc10nz <= 7'd115/*US*/; 7'd111/*US*/: xpc10nz <= 7'd114/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[0]==0/*MS*/)) case (xpc10nz) // synthesis full_case 7'd102/*US*/: xpc10nz <= 7'd103/*US*/; 7'd103/*US*/: xpc10nz <= 7'd104/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 7'd102/*US*/: xpc10nz <= 7'd107/*US*/; 7'd103/*US*/: xpc10nz <= 7'd106/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[0]==1'd1/*MS*/)) case (xpc10nz) // synthesis full_case 7'd96/*US*/: xpc10nz <= 7'd97/*US*/; 7'd97/*US*/: xpc10nz <= 7'd98/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 7'd96/*US*/: xpc10nz <= 7'd101/*US*/; 7'd97/*US*/: xpc10nz <= 7'd100/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[0]==2'd2/*MS*/)) case (xpc10nz) // synthesis full_case 7'd90/*US*/: xpc10nz <= 7'd91/*US*/; 7'd91/*US*/: xpc10nz <= 7'd92/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 7'd90/*US*/: xpc10nz <= 7'd95/*US*/; 7'd91/*US*/: xpc10nz <= 7'd94/*US*/; endcase if ((A_sA_SINT_CC_SCALbx20_ARA0[0]==2'd3/*MS*/)) case (xpc10nz) // synthesis full_case 7'd84/*US*/: xpc10nz <= 7'd85/*US*/; 7'd85/*US*/: xpc10nz <= 7'd86/*xpc10nz*/; endcase else case (xpc10nz) // synthesis full_case 7'd84/*US*/: xpc10nz <= 7'd89/*US*/; 7'd85/*US*/: xpc10nz <= 7'd88/*US*/; endcase if (isMODULUS10_rdy && isMODULUS10RRh10primed) begin isMODULUS10RRh10primed <= 1'd0; isMODULUS10RRh10vld <= 1'd1; isMODULUS10RRh10hold <= isMODULUS10_RR; end if (SINTCCMAPR10NoCE0ARA0RRh10shot0) begin SINTCCMAPR10NoCE0ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE0_ARA0_RDD0; SINTCCMAPR10NoCE0ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE0_ARA0_RDD0; SINTCCMAPR10NoCE0ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE0_ARA0_RDD0; end if (SINTCCMAPR10NoCE1ARA0RRh10shot0) begin SINTCCMAPR10NoCE1ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE1_ARA0_RDD0; SINTCCMAPR10NoCE1ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE1_ARA0_RDD0; SINTCCMAPR10NoCE1ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE1_ARA0_RDD0; end if (SINTCCMAPR10NoCE2ARA0RRh10shot0) begin SINTCCMAPR10NoCE2ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE2_ARA0_RDD0; SINTCCMAPR10NoCE2ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE2_ARA0_RDD0; SINTCCMAPR10NoCE2ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE2_ARA0_RDD0; end if (SINTCCMAPR10NoCE3ARA0RRh10shot0) begin SINTCCMAPR10NoCE3ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE3_ARA0_RDD0; SINTCCMAPR10NoCE3ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE3_ARA0_RDD0; SINTCCMAPR10NoCE3ARA0RRh10hold <= A_SINT_CC_MAPR10NoCE3_ARA0_RDD0; end case (xpc10nz) // synthesis full_case 10'd526/*US*/: if ((TCha6_10_V_0<0)) xpc10nz <= 10'd527/*US*/; else xpc10nz <= 10'd532/*US*/; 10'd537/*US*/: begin if (((xpc10nz==10'd537/*US*/)? !A_SINT_CC_MAPR10NoCE0_ARA0_RDD0 && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==0/*MS*/) || !A_SINT_CC_MAPR10NoCE1_ARA0_RDD0 && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==1'd1/*MS*/) || !A_SINT_CC_MAPR10NoCE2_ARA0_RDD0 && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==2'd2/*MS*/) || !A_SINT_CC_MAPR10NoCE3_ARA0_RDD0 && (A_sA_SINT_CC_SCALbx20_ARA0 [TCin1_9_V_4]==2'd3/*MS*/): !SINTCCMAPR10NoCE0ARA0RRh10hold && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==0/*MS*/) || !SINTCCMAPR10NoCE1ARA0RRh10hold && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==1'd1/*MS*/) || !SINTCCMAPR10NoCE2ARA0RRh10hold && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==2'd2/*MS*/) || !SINTCCMAPR10NoCE3ARA0RRh10hold && (A_sA_SINT_CC_SCALbx20_ARA0 [TCin1_9_V_4]==2'd3/*MS*/)) || (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]!=0/*MS*/) && (A_sA_SINT_CC_SCALbx20_ARA0 [TCin1_9_V_4]!=1'd1/*MS*/) && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]!=2'd2/*MS*/) && (A_sA_SINT_CC_SCALbx20_ARA0 [TCin1_9_V_4]!=2'd3/*MS*/)) xpc10nz <= 10'd538/*US*/; if (((xpc10nz==10'd537/*US*/)? !(!A_SINT_CC_MAPR10NoCE0_ARA0_RDD0) && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4 ]==0/*MS*/) || !(!A_SINT_CC_MAPR10NoCE1_ARA0_RDD0) && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==1'd1/*MS*/) || !(!A_SINT_CC_MAPR10NoCE2_ARA0_RDD0) && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==2'd2/*MS*/) || !(!A_SINT_CC_MAPR10NoCE3_ARA0_RDD0 ) && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==2'd3/*MS*/): !(!SINTCCMAPR10NoCE0ARA0RRh10hold) && (A_sA_SINT_CC_SCALbx20_ARA0 [TCin1_9_V_4]==0/*MS*/) || !(!SINTCCMAPR10NoCE1ARA0RRh10hold) && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==1'd1 /*MS*/) || !(!SINTCCMAPR10NoCE2ARA0RRh10hold) && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==2'd2/*MS*/) || !(!SINTCCMAPR10NoCE3ARA0RRh10hold ) && (A_sA_SINT_CC_SCALbx20_ARA0[TCin1_9_V_4]==2'd3/*MS*/))) xpc10nz <= 10'd566/*US*/; end 10'd576/*US*/: if ((TCCl0_12_V_1<3'd4)) xpc10nz <= 10'd578/*US*/; else xpc10nz <= 10'd577/*US*/; endcase if ((TCin1_9_V_4==3'd4/*US*/)) begin if ((xpc10nz==9'd397/*US*/)) xpc10nz <= 9'd398/*US*/; end else if ((xpc10nz==9'd397/*US*/)) xpc10nz <= 9'd469/*US*/; if ((xpc10nz==9'd393/*US*/)) if ((TCin1_9_V_4<3'd4)) xpc10nz <= 10'd521/*US*/; else xpc10nz <= 9'd394/*US*/; if ((A_SINT_CC_SCALbx24_next_free==3'd4*A_SINT_CC_SCALbx24_waycap)) begin if ((xpc10nz==9'd368/*US*/)) xpc10nz <= 9'd369 /*US*/; end else if ((xpc10nz==9'd368/*US*/)) xpc10nz <= 9'd374/*US*/; case (xpc10nz) // synthesis full_case 9'd346/*US*/: if (!(!TCin1_9_V_0)) xpc10nz <= 9'd366/*US*/; else xpc10nz <= 9'd347/*US*/; 9'd355/*US*/: if (!(!TTMT4Main_V_7)) xpc10nz <= 9'd361/*US*/; else xpc10nz <= 9'd356/*US*/; endcase if ((TTMT4Main_V_11==((A_sA_SINT_CC_SCALbx20_ARA0[TClo6_9_V_0]==2'd3/*MS*/)? ((xpc10nz==9'd325/*US*/)? A_SINT_CC_MAPR10NoCE3_ARA0_RDD0 : SINTCCMAPR10NoCE3ARA0RRh10hold): ((A_sA_SINT_CC_SCALbx20_ARA0[TClo6_9_V_0]==2'd2/*MS*/)? ((xpc10nz==9'd325/*US*/)? A_SINT_CC_MAPR10NoCE2_ARA0_RDD0 : SINTCCMAPR10NoCE2ARA0RRh10hold): ((A_sA_SINT_CC_SCALbx20_ARA0[TClo6_9_V_0]==1'd1/*MS*/)? ((xpc10nz==9'd325/*US*/)? A_SINT_CC_MAPR10NoCE1_ARA0_RDD0 : SINTCCMAPR10NoCE1ARA0RRh10hold): ((A_sA_SINT_CC_SCALbx20_ARA0[TClo6_9_V_0]==0/*MS*/)? ((xpc10nz==9'd325/*US*/)? A_SINT_CC_MAPR10NoCE0_ARA0_RDD0 : SINTCCMAPR10NoCE0ARA0RRh10hold): 1'bx)))))) begin if ((xpc10nz==9'd325/*US*/)) xpc10nz <= 9'd326/*US*/; end else if ((xpc10nz==9'd325/*US*/)) xpc10nz <= 9'd330/*US*/; if (isMODULUS10RRh10vld || isMODULUS10_rdy) case (xpc10nz) // synthesis full_case 9'd323/*US*/: xpc10nz <= 9'd324/*xpc10nz*/; 10'd535/*US*/: xpc10nz <= 10'd536/*xpc10nz*/; endcase if ((xpc10nz==9'd314/*US*/)) if ((TCha3_10_V_0<0)) xpc10nz <= 9'd315/*US*/; else xpc10nz <= 9'd320/*US*/; if ((TClo6_9_V_0==3'd4/*US*/)) begin if ((xpc10nz==9'd295/*US*/)) xpc10nz <= 9'd296/*US*/; end else if ((xpc10nz==9'd295/*US*/)) xpc10nz <= 9'd301/*US*/; if ((xpc10nz==9'd291/*US*/)) if ((TClo6_9_V_0<3'd4)) xpc10nz <= 9'd309/*US*/; else xpc10nz <= 9'd292/*US*/; if ((TTMT4Main_V_12==TTMT4Main_V_13)) begin if ((xpc10nz==9'd273/*US*/)) xpc10nz <= 9'd274/*US*/; end else if ((xpc10nz==9'd273/*US*/)) xpc10nz <= 9'd279/*US*/; case (xpc10nz) // synthesis full_case 5'd22/*US*/: xpc10nz <= 5'd23/*US*/; 6'd41/*US*/: xpc10nz <= 6'd42/*US*/; 6'd45/*US*/: xpc10nz <= 6'd46/*US*/; 6'd49/*US*/: xpc10nz <= 6'd50/*US*/; 6'd53/*US*/: xpc10nz <= 6'd54/*US*/; 6'd60/*US*/: xpc10nz <= 6'd61/*US*/; 7'd64/*US*/: xpc10nz <= 7'd65/*US*/; 7'd68/*US*/: xpc10nz <= 7'd69/*US*/; 7'd72/*US*/: xpc10nz <= 7'd73/*US*/; 8'd195/*US*/: if ((TCCl0_12_V_0<A_SINT_CC_SCALbx24_waycap)) xpc10nz <= 10'd570/*US*/; else xpc10nz <= 8'd196/*US*/; 8'd199/*US*/: xpc10nz <= 8'd200/*US*/; 8'd215/*US*/: if ((TTMT4Main_V_4<15'h_5555)) xpc10nz <= 9'd334/*US*/; else xpc10nz <= 8'd216/*US*/; 8'd219/*US*/: xpc10nz <= 8'd220/*US*/; 8'd231/*US*/: if ((TTMT4Main_V_10<15'h_5555)) xpc10nz <= 8'd248/*US*/; else xpc10nz <= 8'd232/*US*/; 8'd235/*US*/: xpc10nz <= 8'd236/*US*/; 8'd239/*US*/: xpc10nz <= 8'd240/*US*/; 8'd241/*US*/: xpc10nz <= 8'd242/*US*/; 8'd243/*US*/: xpc10nz <= 8'd244/*US*/; 8'd245/*US*/: xpc10nz <= 8'd246/*US*/; 8'd247/*US*/: xpc10nz <= 8'd247/*xpc10nz*/; 9'd260/*US*/: if (!(!TTMT4Main_V_11)) xpc10nz <= 9'd284/*US*/; else xpc10nz <= 9'd261/*US*/; 9'd269/*US*/: if (!(!TTMT4Main_V_14)) xpc10nz <= 9'd279/*US*/; else xpc10nz <= 9'd270/*US*/; 9'd401/*US*/: xpc10nz <= 9'd402/*US*/; endcase if (SINTCCMAPR12NoCE0ARB0RRh10shot0) begin SINTCCMAPR12NoCE0ARB0RRh10hold <= A_SINT_CC_MAPR12NoCE0_ARB0_RDD0; SINTCCMAPR12NoCE0ARB0RRh10hold <= A_SINT_CC_MAPR12NoCE0_ARB0_RDD0; end if (SINTCCMAPR12NoCE1ARB0RRh10shot0) begin SINTCCMAPR12NoCE1ARB0RRh10hold <= A_SINT_CC_MAPR12NoCE1_ARB0_RDD0; SINTCCMAPR12NoCE1ARB0RRh10hold <= A_SINT_CC_MAPR12NoCE1_ARB0_RDD0; end if (SINTCCMAPR12NoCE2ARB0RRh10shot0) begin SINTCCMAPR12NoCE2ARB0RRh10hold <= A_SINT_CC_MAPR12NoCE2_ARB0_RDD0; SINTCCMAPR12NoCE2ARB0RRh10hold <= A_SINT_CC_MAPR12NoCE2_ARB0_RDD0; end if (SINTCCMAPR12NoCE3ARB0RRh10shot0) begin SINTCCMAPR12NoCE3ARB0RRh10hold <= A_SINT_CC_MAPR12NoCE3_ARB0_RDD0; SINTCCMAPR12NoCE3ARB0RRh10hold <= A_SINT_CC_MAPR12NoCE3_ARB0_RDD0; end case (xpc10nz) // synthesis full_case 5'd29/*US*/: xpc10nz <= 5'd30/*xpc10nz*/; 5'd30/*US*/: xpc10nz <= 5'd31/*xpc10nz*/; 5'd31/*US*/: xpc10nz <= 6'd32/*xpc10nz*/; 6'd32/*US*/: xpc10nz <= 6'd33/*xpc10nz*/; 6'd33/*US*/: xpc10nz <= 6'd34/*xpc10nz*/; 6'd34/*US*/: xpc10nz <= 6'd35/*xpc10nz*/; 6'd35/*US*/: xpc10nz <= 6'd36/*xpc10nz*/; 6'd36/*US*/: xpc10nz <= 6'd37/*US*/; 7'd78/*US*/: xpc10nz <= 7'd79/*US*/; 7'd81/*US*/: xpc10nz <= 7'd82/*US*/; 7'd108/*US*/: xpc10nz <= 7'd109/*US*/; 8'd134/*US*/: xpc10nz <= 8'd135/*US*/; 8'd160/*US*/: xpc10nz <= 8'd161/*US*/; 8'd186/*US*/: xpc10nz <= 8'd187/*US*/; 8'd191/*US*/: xpc10nz <= 8'd192/*US*/; 8'd204/*US*/: xpc10nz <= 8'd205/*xpc10nz*/; 8'd205/*US*/: xpc10nz <= 8'd206/*US*/; 8'd207/*US*/: xpc10nz <= 8'd208/*xpc10nz*/; 8'd208/*US*/: xpc10nz <= 8'd209/*xpc10nz*/; 8'd209/*US*/: xpc10nz <= 8'd210/*xpc10nz*/; 8'd210/*US*/: xpc10nz <= 8'd211/*xpc10nz*/; 8'd211/*US*/: xpc10nz <= 8'd212/*US*/; 8'd223/*US*/: xpc10nz <= 8'd224/*xpc10nz*/; 8'd224/*US*/: xpc10nz <= 8'd225/*xpc10nz*/; 8'd225/*US*/: xpc10nz <= 8'd226/*xpc10nz*/; 8'd226/*US*/: xpc10nz <= 8'd227/*xpc10nz*/; 8'd227/*US*/: xpc10nz <= 8'd228/*US*/; 8'd250/*US*/: xpc10nz <= 8'd251/*xpc10nz*/; 8'd251/*US*/: xpc10nz <= 8'd252/*US*/; 8'd253/*US*/: xpc10nz <= 8'd254/*xpc10nz*/; 8'd254/*US*/: xpc10nz <= 8'd255/*xpc10nz*/; 8'd255/*US*/: xpc10nz <= 9'd256/*xpc10nz*/; 9'd256/*US*/: xpc10nz <= 9'd257/*US*/; 9'd258/*US*/: xpc10nz <= 9'd259/*xpc10nz*/; 9'd259/*US*/: xpc10nz <= 9'd260/*US*/; 9'd264/*US*/: xpc10nz <= 9'd265/*US*/; 9'd268/*US*/: xpc10nz <= 9'd269/*US*/; 9'd277/*US*/: xpc10nz <= 9'd278/*US*/; 9'd281/*US*/: xpc10nz <= 9'd282/*xpc10nz*/; 9'd282/*US*/: xpc10nz <= 9'd283/*US*/; 9'd286/*US*/: xpc10nz <= 9'd287/*xpc10nz*/; 9'd287/*US*/: xpc10nz <= 9'd288/*US*/; 9'd299/*US*/: xpc10nz <= 9'd300/*US*/; 9'd304/*US*/: xpc10nz <= 9'd305/*xpc10nz*/; 9'd306/*US*/: xpc10nz <= 9'd307/*xpc10nz*/; 9'd307/*US*/: xpc10nz <= 9'd308/*US*/; 9'd312/*US*/: xpc10nz <= 9'd313/*xpc10nz*/; 9'd313/*US*/: xpc10nz <= 9'd314/*US*/; 9'd318/*US*/: xpc10nz <= 9'd319/*US*/; 9'd322/*US*/: begin isMODULUS10RRh10primed <= !xpc10_stall; xpc10nz <= 9'd323/*xpc10nz*/; end 9'd332/*US*/: xpc10nz <= 9'd333/*US*/; 9'd336/*US*/: xpc10nz <= 9'd337/*xpc10nz*/; 9'd337/*US*/: xpc10nz <= 9'd338/*US*/; 9'd339/*US*/: xpc10nz <= 9'd340/*xpc10nz*/; 9'd340/*US*/: xpc10nz <= 9'd341/*xpc10nz*/; 9'd341/*US*/: xpc10nz <= 9'd342/*xpc10nz*/; 9'd342/*US*/: xpc10nz <= 9'd343/*xpc10nz*/; 9'd343/*US*/: xpc10nz <= 9'd344/*xpc10nz*/; 9'd344/*US*/: xpc10nz <= 9'd345/*xpc10nz*/; 9'd345/*US*/: xpc10nz <= 9'd346/*US*/; 9'd350/*US*/: xpc10nz <= 9'd351/*US*/; 9'd354/*US*/: xpc10nz <= 9'd355/*US*/; 9'd359/*US*/: xpc10nz <= 9'd360/*US*/; 9'd363/*US*/: xpc10nz <= 9'd364/*xpc10nz*/; 9'd364/*US*/: xpc10nz <= 9'd365/*US*/; 9'd372/*US*/: xpc10nz <= 9'd373/*US*/; 9'd377/*US*/: xpc10nz <= 9'd378/*xpc10nz*/; 9'd378/*US*/: xpc10nz <= 9'd379/*xpc10nz*/; 9'd379/*US*/: xpc10nz <= 9'd380/*xpc10nz*/; 9'd380/*US*/: xpc10nz <= 9'd381/*xpc10nz*/; 9'd384/*US*/: xpc10nz <= 9'd385/*US*/; 9'd388/*US*/: xpc10nz <= 9'd389/*xpc10nz*/; 9'd389/*US*/: xpc10nz <= 9'd390/*US*/; 9'd403/*US*/: xpc10nz <= 9'd404/*US*/; 9'd405/*US*/: xpc10nz <= 9'd406/*xpc10nz*/; 9'd407/*US*/: xpc10nz <= 9'd408/*xpc10nz*/; 9'd409/*US*/: xpc10nz <= 9'd410/*US*/; 9'd458/*US*/: xpc10nz <= 9'd459/*xpc10nz*/; 9'd459/*US*/: xpc10nz <= 9'd460/*US*/; 9'd461/*US*/: xpc10nz <= 9'd462/*xpc10nz*/; 9'd462/*US*/: xpc10nz <= 9'd463/*xpc10nz*/; 9'd463/*US*/: xpc10nz <= 9'd464/*xpc10nz*/; 9'd464/*US*/: xpc10nz <= 9'd465/*US*/; 10'd519/*US*/: xpc10nz <= 10'd520/*US*/; 10'd524/*US*/: xpc10nz <= 10'd525/*xpc10nz*/; 10'd525/*US*/: xpc10nz <= 10'd526/*US*/; 10'd530/*US*/: xpc10nz <= 10'd531/*US*/; 10'd534/*US*/: begin isMODULUS10RRh10primed <= !xpc10_stall; xpc10nz <= 10'd535/*xpc10nz*/; end 10'd568/*US*/: xpc10nz <= 10'd569/*US*/; 10'd572/*US*/: xpc10nz <= 10'd573/*US*/; 10'd604/*US*/: xpc10nz <= 10'd605/*US*/; endcase if (Z64USCCSCALbx26ARA0RRh10shot0) Z64USCCSCALbx26ARA0RRh10hold <= A_64_US_CC_SCALbx26_ARA0_RDD0; if (!xpc10_stall && xpc10_clear) isMODULUS10RRh10vld <= 1'd0; SINTCCMAPR10NoCE0ARA0RRh10shot0 <= ((xpc10nz==10'd536/*US*/) || (xpc10nz==9'd406/*US*/) || (xpc10nz==9'd324/*US*/)) && !xpc10_stall; SINTCCMAPR10NoCE1ARA0RRh10shot0 <= ((xpc10nz==10'd536/*US*/) || (xpc10nz==9'd406/*US*/) || (xpc10nz==9'd324/*US*/)) && !xpc10_stall; SINTCCMAPR10NoCE2ARA0RRh10shot0 <= ((xpc10nz==10'd536/*US*/) || (xpc10nz==9'd406/*US*/) || (xpc10nz==9'd324/*US*/)) && !xpc10_stall; SINTCCMAPR10NoCE3ARA0RRh10shot0 <= ((xpc10nz==10'd536/*US*/) || (xpc10nz==9'd406/*US*/) || (xpc10nz==9'd324/*US*/)) && !xpc10_stall; SINTCCMAPR12NoCE0ARB0RRh10shot0 <= ((xpc10nz==9'd408/*US*/) || (xpc10nz==9'd303/*US*/)) && !xpc10_stall; SINTCCMAPR12NoCE1ARB0RRh10shot0 <= ((xpc10nz==9'd408/*US*/) || (xpc10nz==9'd303/*US*/)) && !xpc10_stall; SINTCCMAPR12NoCE2ARB0RRh10shot0 <= ((xpc10nz==9'd408/*US*/) || (xpc10nz==9'd303/*US*/)) && !xpc10_stall; SINTCCMAPR12NoCE3ARB0RRh10shot0 <= ((xpc10nz==9'd408/*US*/) || (xpc10nz==9'd303/*US*/)) && !xpc10_stall; Z64USCCSCALbx26ARA0RRh10shot0 <= (xpc10nz==9'd305/*US*/) && !xpc10_stall; xpc10_trk1 <= ((1'd1/*MS*/==-11'd605+xpc10nz) || (1'd1/*MS*/==-11'd604+xpc10nz) || (1'd1/*MS*/==-11'd603+xpc10nz) || (1'd1 /*MS*/==-11'd602+xpc10nz) || (1'd1/*MS*/==-11'd600+xpc10nz) || (1'd1/*MS*/==-11'd599+xpc10nz) || (1'd1/*MS*/==-11'd598+ xpc10nz) || (1'd1/*MS*/==-11'd597+xpc10nz) || (1'd1/*MS*/==-11'd596+xpc10nz) || (1'd1/*MS*/==-11'd594+xpc10nz) || (1'd1 /*MS*/==-11'd593+xpc10nz) || (1'd1/*MS*/==-11'd592+xpc10nz) || (1'd1/*MS*/==-11'd591+xpc10nz) || (1'd1/*MS*/==-11'd590+ xpc10nz) || (1'd1/*MS*/==-11'd588+xpc10nz) || (1'd1/*MS*/==-11'd587+xpc10nz) || (1'd1/*MS*/==-11'd586+xpc10nz) || (1'd1 /*MS*/==-11'd585+xpc10nz) || (1'd1/*MS*/==-11'd584+xpc10nz) || (1'd1/*MS*/==-11'd582+xpc10nz) || (1'd1/*MS*/==-11'd581+ xpc10nz) || (1'd1/*MS*/==-11'd580+xpc10nz) || (1'd1/*MS*/==-11'd579+xpc10nz) || (1'd1/*MS*/==-11'd578+xpc10nz) || (1'd1 /*MS*/==-11'd577+xpc10nz) || (1'd1/*MS*/==-11'd576+xpc10nz) || (1'd1/*MS*/==-11'd575+xpc10nz) || (1'd1/*MS*/==-11'd574+ xpc10nz) || (1'd1/*MS*/==-11'd573+xpc10nz) || (1'd1/*MS*/==-11'd572+xpc10nz) || (1'd1/*MS*/==-11'd571+xpc10nz) || (1'd1 /*MS*/==-11'd570+xpc10nz) || (1'd1/*MS*/==-11'd569+xpc10nz) || (1'd1/*MS*/==-11'd568+xpc10nz) || (1'd1/*MS*/==-11'd567+ xpc10nz) || (1'd1/*MS*/==-11'd566+xpc10nz) || (1'd1/*MS*/==-11'd565+xpc10nz) || (1'd1/*MS*/==-11'd564+xpc10nz) || (1'd1 /*MS*/==-11'd563+xpc10nz) || (1'd1/*MS*/==-11'd561+xpc10nz) || (1'd1/*MS*/==-11'd560+xpc10nz) || (1'd1/*MS*/==-11'd559+ xpc10nz) || (1'd1/*MS*/==-11'd558+xpc10nz) || (1'd1/*MS*/==-11'd557+xpc10nz) || (1'd1/*MS*/==-11'd555+xpc10nz) || (1'd1 /*MS*/==-11'd554+xpc10nz) || (1'd1/*MS*/==-11'd553+xpc10nz) || (1'd1/*MS*/==-11'd552+xpc10nz) || (1'd1/*MS*/==-11'd551+ xpc10nz) || (1'd1/*MS*/==-11'd549+xpc10nz) || (1'd1/*MS*/==-11'd548+xpc10nz) || (1'd1/*MS*/==-11'd547+xpc10nz) || (1'd1 /*MS*/==-11'd546+xpc10nz) || (1'd1/*MS*/==-11'd545+xpc10nz) || (1'd1/*MS*/==-11'd543+xpc10nz) || (1'd1/*MS*/==-11'd542+ xpc10nz) || (1'd1/*MS*/==-11'd541+xpc10nz) || (1'd1/*MS*/==-11'd540+xpc10nz) || (1'd1/*MS*/==-11'd539+xpc10nz) || (1'd1 /*MS*/==-11'd538+xpc10nz) || (1'd1/*MS*/==-11'd536+xpc10nz) || (1'd1/*MS*/==-11'd534+xpc10nz) || (1'd1/*MS*/==-11'd533+ xpc10nz) || (1'd1/*MS*/==-11'd532+xpc10nz) || (1'd1/*MS*/==-11'd531+xpc10nz) || (1'd1/*MS*/==-11'd530+xpc10nz) || (1'd1 /*MS*/==-11'd529+xpc10nz) || (1'd1/*MS*/==-11'd528+xpc10nz) || (1'd1/*MS*/==-11'd527+xpc10nz) || (1'd1/*MS*/==-11'd526+ xpc10nz) || (1'd1/*MS*/==-11'd525+xpc10nz) || (1'd1/*MS*/==-11'd524+xpc10nz) || (1'd1/*MS*/==-11'd523+xpc10nz) || (1'd1 /*MS*/==-11'd522+xpc10nz) || (1'd1/*MS*/==-11'd521+xpc10nz) || (1'd1/*MS*/==-11'd520+xpc10nz) || (1'd1/*MS*/==-11'd519+ xpc10nz) || (1'd1/*MS*/==-11'd518+xpc10nz) || (1'd1/*MS*/==-11'd517+xpc10nz) || (1'd1/*MS*/==-11'd515+xpc10nz) || (1'd1 /*MS*/==-11'd514+xpc10nz) || (1'd1/*MS*/==-11'd513+xpc10nz) || (1'd1/*MS*/==-11'd512+xpc10nz) || (1'd1/*MS*/==-10'd511+ xpc10nz) || (1'd1/*MS*/==-10'd509+xpc10nz) || (1'd1/*MS*/==-10'd508+xpc10nz) || (1'd1/*MS*/==-10'd507+xpc10nz) || (1'd1 /*MS*/==-10'd506+xpc10nz) || (1'd1/*MS*/==-10'd505+xpc10nz) || (1'd1/*MS*/==-10'd503+xpc10nz) || (1'd1/*MS*/==-10'd502+ xpc10nz) || (1'd1/*MS*/==-10'd501+xpc10nz) || (1'd1/*MS*/==-10'd500+xpc10nz) || (1'd1/*MS*/==-10'd499+xpc10nz) || (1'd1 /*MS*/==-10'd497+xpc10nz) || (1'd1/*MS*/==-10'd496+xpc10nz) || (1'd1/*MS*/==-10'd495+xpc10nz) || (1'd1/*MS*/==-10'd494+ xpc10nz) || (1'd1/*MS*/==-10'd493+xpc10nz) || (1'd1/*MS*/==-10'd491+xpc10nz) || (1'd1/*MS*/==-10'd490+xpc10nz) || (1'd1 /*MS*/==-10'd489+xpc10nz) || (1'd1/*MS*/==-10'd488+xpc10nz) || (1'd1/*MS*/==-10'd487+xpc10nz) || (1'd1/*MS*/==-10'd485+ xpc10nz) || (1'd1/*MS*/==-10'd484+xpc10nz) || (1'd1/*MS*/==-10'd483+xpc10nz) || (1'd1/*MS*/==-10'd482+xpc10nz) || (1'd1 /*MS*/==-10'd481+xpc10nz) || (1'd1/*MS*/==-10'd479+xpc10nz) || (1'd1/*MS*/==-10'd478+xpc10nz) || (1'd1/*MS*/==-10'd477+ xpc10nz) || (1'd1/*MS*/==-10'd476+xpc10nz) || (1'd1/*MS*/==-10'd475+xpc10nz) || (1'd1/*MS*/==-10'd473+xpc10nz) || (1'd1 /*MS*/==-10'd472+xpc10nz) || (1'd1/*MS*/==-10'd471+xpc10nz) || (1'd1/*MS*/==-10'd470+xpc10nz) || (1'd1/*MS*/==-10'd469+ xpc10nz) || (1'd1/*MS*/==-10'd468+xpc10nz) || (1'd1/*MS*/==-10'd467+xpc10nz) || (1'd1/*MS*/==-10'd466+xpc10nz) || (1'd1 /*MS*/==-10'd465+xpc10nz) || (1'd1/*MS*/==-10'd464+xpc10nz) || (1'd1/*MS*/==-10'd463+xpc10nz) || (1'd1/*MS*/==-10'd462+ xpc10nz) || (1'd1/*MS*/==-10'd461+xpc10nz) || (1'd1/*MS*/==-10'd460+xpc10nz) || (1'd1/*MS*/==-10'd459+xpc10nz) || (1'd1 /*MS*/==-10'd458+xpc10nz) || (1'd1/*MS*/==-10'd457+xpc10nz) || (1'd1/*MS*/==-10'd456+xpc10nz) || (1'd1/*MS*/==-10'd454+ xpc10nz) || (1'd1/*MS*/==-10'd453+xpc10nz) || (1'd1/*MS*/==-10'd452+xpc10nz) || (1'd1/*MS*/==-10'd451+xpc10nz) || (1'd1 /*MS*/==-10'd450+xpc10nz) || (1'd1/*MS*/==-10'd448+xpc10nz) || (1'd1/*MS*/==-10'd447+xpc10nz) || (1'd1/*MS*/==-10'd446+ xpc10nz) || (1'd1/*MS*/==-10'd445+xpc10nz) || (1'd1/*MS*/==-10'd444+xpc10nz) || (1'd1/*MS*/==-10'd442+xpc10nz) || (1'd1 /*MS*/==-10'd441+xpc10nz) || (1'd1/*MS*/==-10'd440+xpc10nz) || (1'd1/*MS*/==-10'd439+xpc10nz) || (1'd1/*MS*/==-10'd438+ xpc10nz) || (1'd1/*MS*/==-10'd436+xpc10nz) || (1'd1/*MS*/==-10'd435+xpc10nz) || (1'd1/*MS*/==-10'd434+xpc10nz) || (1'd1 /*MS*/==-10'd433+xpc10nz) || (1'd1/*MS*/==-10'd432+xpc10nz) || (1'd1/*MS*/==-10'd430+xpc10nz) || (1'd1/*MS*/==-10'd429+ xpc10nz) || (1'd1/*MS*/==-10'd428+xpc10nz) || (1'd1/*MS*/==-10'd427+xpc10nz) || (1'd1/*MS*/==-10'd426+xpc10nz) || (1'd1 /*MS*/==-10'd424+xpc10nz) || (1'd1/*MS*/==-10'd423+xpc10nz) || (1'd1/*MS*/==-10'd422+xpc10nz) || (1'd1/*MS*/==-10'd421+ xpc10nz) || (1'd1/*MS*/==-10'd420+xpc10nz) || (1'd1/*MS*/==-10'd418+xpc10nz) || (1'd1/*MS*/==-10'd417+xpc10nz) || (1'd1 /*MS*/==-10'd416+xpc10nz) || (1'd1/*MS*/==-10'd415+xpc10nz) || (1'd1/*MS*/==-10'd414+xpc10nz) || (1'd1/*MS*/==-10'd412+ xpc10nz) || (1'd1/*MS*/==-10'd411+xpc10nz) || (1'd1/*MS*/==-10'd410+xpc10nz) || (1'd1/*MS*/==-10'd408+xpc10nz) || (1'd1 /*MS*/==-10'd406+xpc10nz) || (1'd1/*MS*/==-10'd405+xpc10nz) || (1'd1/*MS*/==-10'd404+xpc10nz) || (1'd1/*MS*/==-10'd403+ xpc10nz) || (1'd1/*MS*/==-10'd402+xpc10nz) || (1'd1/*MS*/==-10'd401+xpc10nz) || (1'd1/*MS*/==-10'd400+xpc10nz) || (1'd1 /*MS*/==-10'd399+xpc10nz) || (1'd1/*MS*/==-10'd398+xpc10nz) || (1'd1/*MS*/==-10'd397+xpc10nz) || (1'd1/*MS*/==-10'd396+ xpc10nz) || (1'd1/*MS*/==-10'd395+xpc10nz) || (1'd1/*MS*/==-10'd394+xpc10nz) || (1'd1/*MS*/==-10'd393+xpc10nz) || (1'd1 /*MS*/==-10'd392+xpc10nz) || (1'd1/*MS*/==-10'd391+xpc10nz) || (1'd1/*MS*/==-10'd390+xpc10nz) || (1'd1/*MS*/==-10'd389+ xpc10nz) || (1'd1/*MS*/==-10'd388+xpc10nz) || (1'd1/*MS*/==-10'd387+xpc10nz) || (1'd1/*MS*/==-10'd386+xpc10nz) || (1'd1 /*MS*/==-10'd385+xpc10nz) || (1'd1/*MS*/==-10'd384+xpc10nz) || (1'd1/*MS*/==-10'd383+xpc10nz) || (1'd1/*MS*/==-10'd381+ xpc10nz) || (1'd1/*MS*/==-10'd380+xpc10nz) || (1'd1/*MS*/==-10'd379+xpc10nz) || (1'd1/*MS*/==-10'd378+xpc10nz) || (1'd1 /*MS*/==-10'd377+xpc10nz) || (1'd1/*MS*/==-10'd376+xpc10nz) || (1'd1/*MS*/==-10'd375+xpc10nz) || (1'd1/*MS*/==-10'd374+ xpc10nz) || (1'd1/*MS*/==-10'd373+xpc10nz) || (1'd1/*MS*/==-10'd372+xpc10nz) || (1'd1/*MS*/==-10'd371+xpc10nz) || (1'd1 /*MS*/==-10'd370+xpc10nz) || (1'd1/*MS*/==-10'd369+xpc10nz) || (1'd1/*MS*/==-10'd368+xpc10nz) || (1'd1/*MS*/==-10'd367+ xpc10nz) || (1'd1/*MS*/==-10'd366+xpc10nz) || (1'd1/*MS*/==-10'd365+xpc10nz) || (1'd1/*MS*/==-10'd364+xpc10nz) || (1'd1 /*MS*/==-10'd363+xpc10nz) || (1'd1/*MS*/==-10'd362+xpc10nz) || (1'd1/*MS*/==-10'd361+xpc10nz) || (1'd1/*MS*/==-10'd360+ xpc10nz) || (1'd1/*MS*/==-10'd359+xpc10nz) || (1'd1/*MS*/==-10'd358+xpc10nz) || (1'd1/*MS*/==-10'd357+xpc10nz) || (1'd1 /*MS*/==-10'd356+xpc10nz) || (1'd1/*MS*/==-10'd355+xpc10nz) || (1'd1/*MS*/==-10'd354+xpc10nz) || (1'd1/*MS*/==-10'd353+ xpc10nz) || (1'd1/*MS*/==-10'd352+xpc10nz) || (1'd1/*MS*/==-10'd351+xpc10nz) || (1'd1/*MS*/==-10'd350+xpc10nz) || (1'd1 /*MS*/==-10'd349+xpc10nz) || (1'd1/*MS*/==-10'd348+xpc10nz) || (1'd1/*MS*/==-10'd347+xpc10nz) || (1'd1/*MS*/==-10'd346+ xpc10nz) || (1'd1/*MS*/==-10'd345+xpc10nz) || (1'd1/*MS*/==-10'd344+xpc10nz) || (1'd1/*MS*/==-10'd343+xpc10nz) || (1'd1 /*MS*/==-10'd342+xpc10nz) || (1'd1/*MS*/==-10'd341+xpc10nz) || (1'd1/*MS*/==-10'd340+xpc10nz) || (1'd1/*MS*/==-10'd339+ xpc10nz) || (1'd1/*MS*/==-10'd338+xpc10nz) || (1'd1/*MS*/==-10'd337+xpc10nz) || (1'd1/*MS*/==-10'd336+xpc10nz) || (1'd1 /*MS*/==-10'd335+xpc10nz) || (1'd1/*MS*/==-10'd334+xpc10nz) || (1'd1/*MS*/==-10'd333+xpc10nz) || (1'd1/*MS*/==-10'd332+ xpc10nz) || (1'd1/*MS*/==-10'd331+xpc10nz) || (1'd1/*MS*/==-10'd330+xpc10nz) || (1'd1/*MS*/==-10'd329+xpc10nz) || (1'd1 /*MS*/==-10'd328+xpc10nz) || (1'd1/*MS*/==-10'd327+xpc10nz) || (1'd1/*MS*/==-10'd326+xpc10nz) || (1'd1/*MS*/==-10'd324+ xpc10nz) || (1'd1/*MS*/==-10'd322+xpc10nz) || (1'd1/*MS*/==-10'd321+xpc10nz) || (1'd1/*MS*/==-10'd320+xpc10nz) || (1'd1 /*MS*/==-10'd319+xpc10nz) || (1'd1/*MS*/==-10'd318+xpc10nz) || (1'd1/*MS*/==-10'd317+xpc10nz) || (1'd1/*MS*/==-10'd316+ xpc10nz) || (1'd1/*MS*/==-10'd315+xpc10nz) || (1'd1/*MS*/==-10'd314+xpc10nz) || (1'd1/*MS*/==-10'd313+xpc10nz) || (1'd1 /*MS*/==-10'd312+xpc10nz) || (1'd1/*MS*/==-10'd311+xpc10nz) || (1'd1/*MS*/==-10'd310+xpc10nz) || (1'd1/*MS*/==-10'd309+ xpc10nz) || (1'd1/*MS*/==-10'd308+xpc10nz) || (1'd1/*MS*/==-10'd307+xpc10nz) || (1'd1/*MS*/==-10'd305+xpc10nz) || (1'd1 /*MS*/==-10'd303+xpc10nz) || (1'd1/*MS*/==-10'd302+xpc10nz) || (1'd1/*MS*/==-10'd301+xpc10nz) || (1'd1/*MS*/==-10'd300+ xpc10nz) || (1'd1/*MS*/==-10'd299+xpc10nz) || (1'd1/*MS*/==-10'd298+xpc10nz) || (1'd1/*MS*/==-10'd297+xpc10nz) || (1'd1 /*MS*/==-10'd296+xpc10nz) || (1'd1/*MS*/==-10'd295+xpc10nz) || (1'd1/*MS*/==-10'd294+xpc10nz) || (1'd1/*MS*/==-10'd293+ xpc10nz) || (1'd1/*MS*/==-10'd292+xpc10nz) || (1'd1/*MS*/==-10'd291+xpc10nz) || (1'd1/*MS*/==-10'd290+xpc10nz) || (1'd1 /*MS*/==-10'd289+xpc10nz) || (1'd1/*MS*/==-10'd288+xpc10nz) || (1'd1/*MS*/==-10'd287+xpc10nz) || (1'd1/*MS*/==-10'd286+ xpc10nz) || (1'd1/*MS*/==-10'd285+xpc10nz) || (1'd1/*MS*/==-10'd284+xpc10nz) || (1'd1/*MS*/==-10'd283+xpc10nz) || (1'd1 /*MS*/==-10'd282+xpc10nz) || (1'd1/*MS*/==-10'd281+xpc10nz) || (1'd1/*MS*/==-10'd280+xpc10nz) || (1'd1/*MS*/==-10'd279+ xpc10nz) || (1'd1/*MS*/==-10'd278+xpc10nz) || (1'd1/*MS*/==-10'd277+xpc10nz) || (1'd1/*MS*/==-10'd276+xpc10nz) || (1'd1 /*MS*/==-10'd275+xpc10nz) || (1'd1/*MS*/==-10'd274+xpc10nz) || (1'd1/*MS*/==-10'd273+xpc10nz) || (1'd1/*MS*/==-10'd272+ xpc10nz) || (1'd1/*MS*/==-10'd271+xpc10nz) || (1'd1/*MS*/==-10'd270+xpc10nz) || (1'd1/*MS*/==-10'd269+xpc10nz) || (1'd1 /*MS*/==-10'd268+xpc10nz) || (1'd1/*MS*/==-10'd267+xpc10nz) || (1'd1/*MS*/==-10'd266+xpc10nz) || (1'd1/*MS*/==-10'd265+ xpc10nz) || (1'd1/*MS*/==-10'd264+xpc10nz) || (1'd1/*MS*/==-10'd263+xpc10nz) || (1'd1/*MS*/==-10'd262+xpc10nz) || (1'd1 /*MS*/==-10'd261+xpc10nz) || (1'd1/*MS*/==-10'd260+xpc10nz) || (1'd1/*MS*/==-10'd259+xpc10nz) || (1'd1/*MS*/==-10'd258+ xpc10nz) || (1'd1/*MS*/==-10'd257+xpc10nz) || (1'd1/*MS*/==-10'd256+xpc10nz) || (1'd1/*MS*/==-9'd255+xpc10nz) || (1'd1/*MS*/== -9'd254+xpc10nz) || (1'd1/*MS*/==-9'd253+xpc10nz) || (1'd1/*MS*/==-9'd252+xpc10nz) || (1'd1/*MS*/==-9'd251+xpc10nz) || (1'd1/*MS*/==-9'd250+xpc10nz) || (1'd1/*MS*/==-9'd249+xpc10nz) || (1'd1/*MS*/==-9'd248+xpc10nz) || (1'd1/*MS*/==-9'd247 +xpc10nz) || (1'd1/*MS*/==-9'd246+xpc10nz) || (1'd1/*MS*/==-9'd245+xpc10nz) || (1'd1/*MS*/==-9'd244+xpc10nz) || (1'd1/*MS*/== -9'd243+xpc10nz) || (1'd1/*MS*/==-9'd242+xpc10nz) || (1'd1/*MS*/==-9'd241+xpc10nz) || (1'd1/*MS*/==-9'd240+xpc10nz) || (1'd1/*MS*/==-9'd239+xpc10nz) || (1'd1/*MS*/==-9'd238+xpc10nz) || (1'd1/*MS*/==-9'd237+xpc10nz) || (1'd1/*MS*/==-9'd236 +xpc10nz) || (1'd1/*MS*/==-9'd235+xpc10nz) || (1'd1/*MS*/==-9'd234+xpc10nz) || (1'd1/*MS*/==-9'd233+xpc10nz) || (1'd1/*MS*/== -9'd232+xpc10nz) || (1'd1/*MS*/==-9'd231+xpc10nz) || (1'd1/*MS*/==-9'd230+xpc10nz) || (1'd1/*MS*/==-9'd229+xpc10nz) || (1'd1/*MS*/==-9'd228+xpc10nz) || (1'd1/*MS*/==-9'd227+xpc10nz) || (1'd1/*MS*/==-9'd226+xpc10nz) || (1'd1/*MS*/==-9'd225 +xpc10nz) || (1'd1/*MS*/==-9'd224+xpc10nz) || (1'd1/*MS*/==-9'd223+xpc10nz) || (1'd1/*MS*/==-9'd222+xpc10nz) || (1'd1/*MS*/== -9'd221+xpc10nz) || (1'd1/*MS*/==-9'd220+xpc10nz) || (1'd1/*MS*/==-9'd219+xpc10nz) || (1'd1/*MS*/==-9'd218+xpc10nz) || (1'd1/*MS*/==-9'd217+xpc10nz) || (1'd1/*MS*/==-9'd216+xpc10nz) || (1'd1/*MS*/==-9'd215+xpc10nz) || (1'd1/*MS*/==-9'd214 +xpc10nz) || (1'd1/*MS*/==-9'd213+xpc10nz) || (1'd1/*MS*/==-9'd212+xpc10nz) || (1'd1/*MS*/==-9'd211+xpc10nz) || (1'd1/*MS*/== -9'd210+xpc10nz) || (1'd1/*MS*/==-9'd209+xpc10nz) || (1'd1/*MS*/==-9'd208+xpc10nz) || (1'd1/*MS*/==-9'd207+xpc10nz) || (1'd1/*MS*/==-9'd206+xpc10nz) || (1'd1/*MS*/==-9'd205+xpc10nz) || (1'd1/*MS*/==-9'd204+xpc10nz) || (1'd1/*MS*/==-9'd203 +xpc10nz) || (1'd1/*MS*/==-9'd202+xpc10nz) || (1'd1/*MS*/==-9'd201+xpc10nz) || (1'd1/*MS*/==-9'd200+xpc10nz) || (1'd1/*MS*/== -9'd199+xpc10nz) || (1'd1/*MS*/==-9'd198+xpc10nz) || (1'd1/*MS*/==-9'd197+xpc10nz) || (1'd1/*MS*/==-9'd196+xpc10nz) || (1'd1/*MS*/==-9'd195+xpc10nz) || (1'd1/*MS*/==-9'd194+xpc10nz) || (1'd1/*MS*/==-9'd193+xpc10nz) || (1'd1/*MS*/==-9'd192 +xpc10nz) || (1'd1/*MS*/==-9'd191+xpc10nz) || (1'd1/*MS*/==-9'd190+xpc10nz) || (1'd1/*MS*/==-9'd189+xpc10nz) || (1'd1/*MS*/== -9'd188+xpc10nz) || (1'd1/*MS*/==-9'd187+xpc10nz) || (1'd1/*MS*/==-9'd186+xpc10nz) || (1'd1/*MS*/==-9'd185+xpc10nz) || (1'd1/*MS*/==-9'd184+xpc10nz) || (1'd1/*MS*/==-9'd182+xpc10nz) || (1'd1/*MS*/==-9'd181+xpc10nz) || (1'd1/*MS*/==-9'd180 +xpc10nz) || (1'd1/*MS*/==-9'd179+xpc10nz) || (1'd1/*MS*/==-9'd178+xpc10nz) || (1'd1/*MS*/==-9'd176+xpc10nz) || (1'd1/*MS*/== -9'd175+xpc10nz) || (1'd1/*MS*/==-9'd174+xpc10nz) || (1'd1/*MS*/==-9'd173+xpc10nz) || (1'd1/*MS*/==-9'd172+xpc10nz) || (1'd1/*MS*/==-9'd170+xpc10nz) || (1'd1/*MS*/==-9'd169+xpc10nz) || (1'd1/*MS*/==-9'd168+xpc10nz) || (1'd1/*MS*/==-9'd167 +xpc10nz) || (1'd1/*MS*/==-9'd166+xpc10nz) || (1'd1/*MS*/==-9'd164+xpc10nz) || (1'd1/*MS*/==-9'd163+xpc10nz) || (1'd1/*MS*/== -9'd162+xpc10nz) || (1'd1/*MS*/==-9'd161+xpc10nz) || (1'd1/*MS*/==-9'd160+xpc10nz) || (1'd1/*MS*/==-9'd159+xpc10nz) || (1'd1/*MS*/==-9'd158+xpc10nz) || (1'd1/*MS*/==-9'd156+xpc10nz) || (1'd1/*MS*/==-9'd155+xpc10nz) || (1'd1/*MS*/==-9'd154 +xpc10nz) || (1'd1/*MS*/==-9'd153+xpc10nz) || (1'd1/*MS*/==-9'd152+xpc10nz) || (1'd1/*MS*/==-9'd150+xpc10nz) || (1'd1/*MS*/== -9'd149+xpc10nz) || (1'd1/*MS*/==-9'd148+xpc10nz) || (1'd1/*MS*/==-9'd147+xpc10nz) || (1'd1/*MS*/==-9'd146+xpc10nz) || (1'd1/*MS*/==-9'd144+xpc10nz) || (1'd1/*MS*/==-9'd143+xpc10nz) || (1'd1/*MS*/==-9'd142+xpc10nz) || (1'd1/*MS*/==-9'd141 +xpc10nz) || (1'd1/*MS*/==-9'd140+xpc10nz) || (1'd1/*MS*/==-9'd138+xpc10nz) || (1'd1/*MS*/==-9'd137+xpc10nz) || (1'd1/*MS*/== -9'd136+xpc10nz) || (1'd1/*MS*/==-9'd135+xpc10nz) || (1'd1/*MS*/==-9'd134+xpc10nz) || (1'd1/*MS*/==-9'd133+xpc10nz) || (1'd1/*MS*/==-9'd132+xpc10nz) || (1'd1/*MS*/==-9'd130+xpc10nz) || (1'd1/*MS*/==-9'd129+xpc10nz) || (1'd1/*MS*/==-9'd128 +xpc10nz) || (1'd1/*MS*/==-8'd127+xpc10nz) || (1'd1/*MS*/==-8'd126+xpc10nz) || (1'd1/*MS*/==-8'd124+xpc10nz) || (1'd1/*MS*/== -8'd123+xpc10nz) || (1'd1/*MS*/==-8'd122+xpc10nz) || (1'd1/*MS*/==-8'd121+xpc10nz) || (1'd1/*MS*/==-8'd120+xpc10nz) || (1'd1/*MS*/==-8'd118+xpc10nz) || (1'd1/*MS*/==-8'd117+xpc10nz) || (1'd1/*MS*/==-8'd116+xpc10nz) || (1'd1/*MS*/==-8'd115 +xpc10nz) || (1'd1/*MS*/==-8'd114+xpc10nz) || (1'd1/*MS*/==-8'd112+xpc10nz) || (1'd1/*MS*/==-8'd111+xpc10nz) || (1'd1/*MS*/== -8'd110+xpc10nz) || (1'd1/*MS*/==-8'd109+xpc10nz) || (1'd1/*MS*/==-8'd108+xpc10nz) || (1'd1/*MS*/==-8'd107+xpc10nz) || (1'd1/*MS*/==-8'd106+xpc10nz) || (1'd1/*MS*/==-8'd104+xpc10nz) || (1'd1/*MS*/==-8'd103+xpc10nz) || (1'd1/*MS*/==-8'd102 +xpc10nz) || (1'd1/*MS*/==-8'd101+xpc10nz) || (1'd1/*MS*/==-8'd100+xpc10nz) || (1'd1/*MS*/==-8'd98+xpc10nz) || (1'd1/*MS*/== -8'd97+xpc10nz) || (1'd1/*MS*/==-8'd96+xpc10nz) || (1'd1/*MS*/==-8'd95+xpc10nz) || (1'd1/*MS*/==-8'd94+xpc10nz) || (1'd1 /*MS*/==-8'd92+xpc10nz) || (1'd1/*MS*/==-8'd91+xpc10nz) || (1'd1/*MS*/==-8'd90+xpc10nz) || (1'd1/*MS*/==-8'd89+xpc10nz) || (1'd1/*MS*/==-8'd88+xpc10nz) || (1'd1/*MS*/==-8'd86+xpc10nz) || (1'd1/*MS*/==-8'd85+xpc10nz) || (1'd1/*MS*/==-8'd84+xpc10nz ) || (1'd1/*MS*/==-8'd83+xpc10nz) || (1'd1/*MS*/==-8'd82+xpc10nz) || (1'd1/*MS*/==-8'd81+xpc10nz) || (1'd1/*MS*/==-8'd80 +xpc10nz) || (1'd1/*MS*/==-8'd79+xpc10nz) || (1'd1/*MS*/==-8'd78+xpc10nz) || (1'd1/*MS*/==-8'd77+xpc10nz) || (1'd1/*MS*/== -8'd76+xpc10nz) || (1'd1/*MS*/==-8'd75+xpc10nz) || (1'd1/*MS*/==-8'd74+xpc10nz) || (1'd1/*MS*/==-8'd73+xpc10nz) || (1'd1 /*MS*/==-8'd72+xpc10nz) || (1'd1/*MS*/==-8'd71+xpc10nz) || (1'd1/*MS*/==-8'd70+xpc10nz) || (1'd1/*MS*/==-8'd69+xpc10nz) || (1'd1/*MS*/==-8'd68+xpc10nz) || (1'd1/*MS*/==-8'd67+xpc10nz) || (1'd1/*MS*/==-8'd66+xpc10nz) || (1'd1/*MS*/==-8'd65+xpc10nz ) || (1'd1/*MS*/==-8'd64+xpc10nz) || (1'd1/*MS*/==-7'd63+xpc10nz) || (1'd1/*MS*/==-7'd62+xpc10nz) || (1'd1/*MS*/==-7'd61 +xpc10nz) || (1'd1/*MS*/==-7'd60+xpc10nz) || (1'd1/*MS*/==-7'd59+xpc10nz) || (1'd1/*MS*/==-7'd58+xpc10nz) || (1'd1/*MS*/== -7'd57+xpc10nz) || (1'd1/*MS*/==-7'd56+xpc10nz) || (1'd1/*MS*/==-7'd55+xpc10nz) || (1'd1/*MS*/==-7'd54+xpc10nz) || (1'd1 /*MS*/==-7'd53+xpc10nz) || (1'd1/*MS*/==-7'd52+xpc10nz) || (1'd1/*MS*/==-7'd51+xpc10nz) || (1'd1/*MS*/==-7'd50+xpc10nz) || (1'd1/*MS*/==-7'd49+xpc10nz) || (1'd1/*MS*/==-7'd48+xpc10nz) || (1'd1/*MS*/==-7'd47+xpc10nz) || (1'd1/*MS*/==-7'd46+xpc10nz ) || (1'd1/*MS*/==-7'd45+xpc10nz) || (1'd1/*MS*/==-7'd44+xpc10nz) || (1'd1/*MS*/==-7'd43+xpc10nz) || (1'd1/*MS*/==-7'd42 +xpc10nz) || (1'd1/*MS*/==-7'd41+xpc10nz) || (1'd1/*MS*/==-7'd40+xpc10nz) || (1'd1/*MS*/==-7'd39+xpc10nz) || (1'd1/*MS*/== -7'd38+xpc10nz) || (1'd1/*MS*/==-7'd37+xpc10nz) || (1'd1/*MS*/==-7'd36+xpc10nz) || (1'd1/*MS*/==-7'd35+xpc10nz) || (1'd1 /*MS*/==-7'd34+xpc10nz) || (1'd1/*MS*/==-7'd33+xpc10nz) || (1'd1/*MS*/==-7'd32+xpc10nz) || (1'd1/*MS*/==-6'd31+xpc10nz) || (1'd1/*MS*/==-6'd30+xpc10nz) || (1'd1/*MS*/==-6'd29+xpc10nz) || (1'd1/*MS*/==-6'd28+xpc10nz) || (1'd1/*MS*/==-6'd27+xpc10nz ) || (1'd1/*MS*/==-6'd26+xpc10nz) || (1'd1/*MS*/==-6'd25+xpc10nz) || (1'd1/*MS*/==-6'd24+xpc10nz) || (1'd1/*MS*/==-6'd23 +xpc10nz) || (1'd1/*MS*/==-6'd22+xpc10nz) || (1'd1/*MS*/==-6'd21+xpc10nz) || (1'd1/*MS*/==-6'd20+xpc10nz) || (1'd1/*MS*/== -6'd19+xpc10nz) || (1'd1/*MS*/==-6'd18+xpc10nz) || (1'd1/*MS*/==-6'd17+xpc10nz) || (1'd1/*MS*/==-6'd16+xpc10nz) || (1'd1 /*MS*/==-5'd15+xpc10nz) || (1'd1/*MS*/==-5'd14+xpc10nz) || (1'd1/*MS*/==-5'd13+xpc10nz) || (1'd1/*MS*/==-5'd12+xpc10nz) || (1'd1/*MS*/==-5'd11+xpc10nz) || (1'd1/*MS*/==-5'd10+xpc10nz) || (1'd1/*MS*/==-5'd9+xpc10nz) || (1'd1/*MS*/==-5'd8+xpc10nz ) || (1'd1/*MS*/==-4'd7+xpc10nz) || (1'd1/*MS*/==-4'd6+xpc10nz) || (1'd1/*MS*/==-4'd5+xpc10nz) || (1'd1/*MS*/==-4'd4+xpc10nz ) || (1'd1/*MS*/==-3'd3+xpc10nz) || (1'd1/*MS*/==-3'd2+xpc10nz) || (1'd1/*MS*/==-2'd1+xpc10nz) || (xpc10nz==1'd1/*US*/)) && !xpc10_clear && !xpc10_stall; xpc10_trk0 <= ((0/*MS*/==-11'd605+xpc10nz) || (0/*MS*/==-11'd604+xpc10nz) || (0/*MS*/==-11'd603+xpc10nz) || (0/*MS*/== -11'd602+xpc10nz) || (0/*MS*/==-11'd600+xpc10nz) || (0/*MS*/==-11'd599+xpc10nz) || (0/*MS*/==-11'd598+xpc10nz) || (0/*MS*/== -11'd597+xpc10nz) || (0/*MS*/==-11'd596+xpc10nz) || (0/*MS*/==-11'd594+xpc10nz) || (0/*MS*/==-11'd593+xpc10nz) || (0/*MS*/== -11'd592+xpc10nz) || (0/*MS*/==-11'd591+xpc10nz) || (0/*MS*/==-11'd590+xpc10nz) || (0/*MS*/==-11'd588+xpc10nz) || (0/*MS*/== -11'd587+xpc10nz) || (0/*MS*/==-11'd586+xpc10nz) || (0/*MS*/==-11'd585+xpc10nz) || (0/*MS*/==-11'd584+xpc10nz) || (0/*MS*/== -11'd582+xpc10nz) || (0/*MS*/==-11'd581+xpc10nz) || (0/*MS*/==-11'd580+xpc10nz) || (0/*MS*/==-11'd579+xpc10nz) || (0/*MS*/== -11'd578+xpc10nz) || (0/*MS*/==-11'd577+xpc10nz) || (0/*MS*/==-11'd576+xpc10nz) || (0/*MS*/==-11'd575+xpc10nz) || (0/*MS*/== -11'd574+xpc10nz) || (0/*MS*/==-11'd573+xpc10nz) || (0/*MS*/==-11'd572+xpc10nz) || (0/*MS*/==-11'd571+xpc10nz) || (0/*MS*/== -11'd570+xpc10nz) || (0/*MS*/==-11'd569+xpc10nz) || (0/*MS*/==-11'd568+xpc10nz) || (0/*MS*/==-11'd567+xpc10nz) || (0/*MS*/== -11'd566+xpc10nz) || (0/*MS*/==-11'd565+xpc10nz) || (0/*MS*/==-11'd564+xpc10nz) || (0/*MS*/==-11'd563+xpc10nz) || (0/*MS*/== -11'd561+xpc10nz) || (0/*MS*/==-11'd560+xpc10nz) || (0/*MS*/==-11'd559+xpc10nz) || (0/*MS*/==-11'd558+xpc10nz) || (0/*MS*/== -11'd557+xpc10nz) || (0/*MS*/==-11'd555+xpc10nz) || (0/*MS*/==-11'd554+xpc10nz) || (0/*MS*/==-11'd553+xpc10nz) || (0/*MS*/== -11'd552+xpc10nz) || (0/*MS*/==-11'd551+xpc10nz) || (0/*MS*/==-11'd549+xpc10nz) || (0/*MS*/==-11'd548+xpc10nz) || (0/*MS*/== -11'd547+xpc10nz) || (0/*MS*/==-11'd546+xpc10nz) || (0/*MS*/==-11'd545+xpc10nz) || (0/*MS*/==-11'd543+xpc10nz) || (0/*MS*/== -11'd542+xpc10nz) || (0/*MS*/==-11'd541+xpc10nz) || (0/*MS*/==-11'd540+xpc10nz) || (0/*MS*/==-11'd539+xpc10nz) || (0/*MS*/== -11'd538+xpc10nz) || (0/*MS*/==-11'd536+xpc10nz) || (0/*MS*/==-11'd534+xpc10nz) || (0/*MS*/==-11'd533+xpc10nz) || (0/*MS*/== -11'd532+xpc10nz) || (0/*MS*/==-11'd531+xpc10nz) || (0/*MS*/==-11'd530+xpc10nz) || (0/*MS*/==-11'd529+xpc10nz) || (0/*MS*/== -11'd528+xpc10nz) || (0/*MS*/==-11'd527+xpc10nz) || (0/*MS*/==-11'd526+xpc10nz) || (0/*MS*/==-11'd525+xpc10nz) || (0/*MS*/== -11'd524+xpc10nz) || (0/*MS*/==-11'd523+xpc10nz) || (0/*MS*/==-11'd522+xpc10nz) || (0/*MS*/==-11'd521+xpc10nz) || (0/*MS*/== -11'd520+xpc10nz) || (0/*MS*/==-11'd519+xpc10nz) || (0/*MS*/==-11'd518+xpc10nz) || (0/*MS*/==-11'd517+xpc10nz) || (0/*MS*/== -11'd515+xpc10nz) || (0/*MS*/==-11'd514+xpc10nz) || (0/*MS*/==-11'd513+xpc10nz) || (0/*MS*/==-11'd512+xpc10nz) || (0/*MS*/== -10'd511+xpc10nz) || (0/*MS*/==-10'd509+xpc10nz) || (0/*MS*/==-10'd508+xpc10nz) || (0/*MS*/==-10'd507+xpc10nz) || (0/*MS*/== -10'd506+xpc10nz) || (0/*MS*/==-10'd505+xpc10nz) || (0/*MS*/==-10'd503+xpc10nz) || (0/*MS*/==-10'd502+xpc10nz) || (0/*MS*/== -10'd501+xpc10nz) || (0/*MS*/==-10'd500+xpc10nz) || (0/*MS*/==-10'd499+xpc10nz) || (0/*MS*/==-10'd497+xpc10nz) || (0/*MS*/== -10'd496+xpc10nz) || (0/*MS*/==-10'd495+xpc10nz) || (0/*MS*/==-10'd494+xpc10nz) || (0/*MS*/==-10'd493+xpc10nz) || (0/*MS*/== -10'd491+xpc10nz) || (0/*MS*/==-10'd490+xpc10nz) || (0/*MS*/==-10'd489+xpc10nz) || (0/*MS*/==-10'd488+xpc10nz) || (0/*MS*/== -10'd487+xpc10nz) || (0/*MS*/==-10'd485+xpc10nz) || (0/*MS*/==-10'd484+xpc10nz) || (0/*MS*/==-10'd483+xpc10nz) || (0/*MS*/== -10'd482+xpc10nz) || (0/*MS*/==-10'd481+xpc10nz) || (0/*MS*/==-10'd479+xpc10nz) || (0/*MS*/==-10'd478+xpc10nz) || (0/*MS*/== -10'd477+xpc10nz) || (0/*MS*/==-10'd476+xpc10nz) || (0/*MS*/==-10'd475+xpc10nz) || (0/*MS*/==-10'd473+xpc10nz) || (0/*MS*/== -10'd472+xpc10nz) || (0/*MS*/==-10'd471+xpc10nz) || (0/*MS*/==-10'd470+xpc10nz) || (0/*MS*/==-10'd469+xpc10nz) || (0/*MS*/== -10'd468+xpc10nz) || (0/*MS*/==-10'd467+xpc10nz) || (0/*MS*/==-10'd466+xpc10nz) || (0/*MS*/==-10'd465+xpc10nz) || (0/*MS*/== -10'd464+xpc10nz) || (0/*MS*/==-10'd463+xpc10nz) || (0/*MS*/==-10'd462+xpc10nz) || (0/*MS*/==-10'd461+xpc10nz) || (0/*MS*/== -10'd460+xpc10nz) || (0/*MS*/==-10'd459+xpc10nz) || (0/*MS*/==-10'd458+xpc10nz) || (0/*MS*/==-10'd457+xpc10nz) || (0/*MS*/== -10'd456+xpc10nz) || (0/*MS*/==-10'd454+xpc10nz) || (0/*MS*/==-10'd453+xpc10nz) || (0/*MS*/==-10'd452+xpc10nz) || (0/*MS*/== -10'd451+xpc10nz) || (0/*MS*/==-10'd450+xpc10nz) || (0/*MS*/==-10'd448+xpc10nz) || (0/*MS*/==-10'd447+xpc10nz) || (0/*MS*/== -10'd446+xpc10nz) || (0/*MS*/==-10'd445+xpc10nz) || (0/*MS*/==-10'd444+xpc10nz) || (0/*MS*/==-10'd442+xpc10nz) || (0/*MS*/== -10'd441+xpc10nz) || (0/*MS*/==-10'd440+xpc10nz) || (0/*MS*/==-10'd439+xpc10nz) || (0/*MS*/==-10'd438+xpc10nz) || (0/*MS*/== -10'd436+xpc10nz) || (0/*MS*/==-10'd435+xpc10nz) || (0/*MS*/==-10'd434+xpc10nz) || (0/*MS*/==-10'd433+xpc10nz) || (0/*MS*/== -10'd432+xpc10nz) || (0/*MS*/==-10'd430+xpc10nz) || (0/*MS*/==-10'd429+xpc10nz) || (0/*MS*/==-10'd428+xpc10nz) || (0/*MS*/== -10'd427+xpc10nz) || (0/*MS*/==-10'd426+xpc10nz) || (0/*MS*/==-10'd424+xpc10nz) || (0/*MS*/==-10'd423+xpc10nz) || (0/*MS*/== -10'd422+xpc10nz) || (0/*MS*/==-10'd421+xpc10nz) || (0/*MS*/==-10'd420+xpc10nz) || (0/*MS*/==-10'd418+xpc10nz) || (0/*MS*/== -10'd417+xpc10nz) || (0/*MS*/==-10'd416+xpc10nz) || (0/*MS*/==-10'd415+xpc10nz) || (0/*MS*/==-10'd414+xpc10nz) || (0/*MS*/== -10'd412+xpc10nz) || (0/*MS*/==-10'd411+xpc10nz) || (0/*MS*/==-10'd410+xpc10nz) || (0/*MS*/==-10'd408+xpc10nz) || (0/*MS*/== -10'd406+xpc10nz) || (0/*MS*/==-10'd405+xpc10nz) || (0/*MS*/==-10'd404+xpc10nz) || (0/*MS*/==-10'd403+xpc10nz) || (0/*MS*/== -10'd402+xpc10nz) || (0/*MS*/==-10'd401+xpc10nz) || (0/*MS*/==-10'd400+xpc10nz) || (0/*MS*/==-10'd399+xpc10nz) || (0/*MS*/== -10'd398+xpc10nz) || (0/*MS*/==-10'd397+xpc10nz) || (0/*MS*/==-10'd396+xpc10nz) || (0/*MS*/==-10'd395+xpc10nz) || (0/*MS*/== -10'd394+xpc10nz) || (0/*MS*/==-10'd393+xpc10nz) || (0/*MS*/==-10'd392+xpc10nz) || (0/*MS*/==-10'd391+xpc10nz) || (0/*MS*/== -10'd390+xpc10nz) || (0/*MS*/==-10'd389+xpc10nz) || (0/*MS*/==-10'd388+xpc10nz) || (0/*MS*/==-10'd387+xpc10nz) || (0/*MS*/== -10'd386+xpc10nz) || (0/*MS*/==-10'd385+xpc10nz) || (0/*MS*/==-10'd384+xpc10nz) || (0/*MS*/==-10'd383+xpc10nz) || (0/*MS*/== -10'd381+xpc10nz) || (0/*MS*/==-10'd380+xpc10nz) || (0/*MS*/==-10'd379+xpc10nz) || (0/*MS*/==-10'd378+xpc10nz) || (0/*MS*/== -10'd377+xpc10nz) || (0/*MS*/==-10'd376+xpc10nz) || (0/*MS*/==-10'd375+xpc10nz) || (0/*MS*/==-10'd374+xpc10nz) || (0/*MS*/== -10'd373+xpc10nz) || (0/*MS*/==-10'd372+xpc10nz) || (0/*MS*/==-10'd371+xpc10nz) || (0/*MS*/==-10'd370+xpc10nz) || (0/*MS*/== -10'd369+xpc10nz) || (0/*MS*/==-10'd368+xpc10nz) || (0/*MS*/==-10'd367+xpc10nz) || (0/*MS*/==-10'd366+xpc10nz) || (0/*MS*/== -10'd365+xpc10nz) || (0/*MS*/==-10'd364+xpc10nz) || (0/*MS*/==-10'd363+xpc10nz) || (0/*MS*/==-10'd362+xpc10nz) || (0/*MS*/== -10'd361+xpc10nz) || (0/*MS*/==-10'd360+xpc10nz) || (0/*MS*/==-10'd359+xpc10nz) || (0/*MS*/==-10'd358+xpc10nz) || (0/*MS*/== -10'd357+xpc10nz) || (0/*MS*/==-10'd356+xpc10nz) || (0/*MS*/==-10'd355+xpc10nz) || (0/*MS*/==-10'd354+xpc10nz) || (0/*MS*/== -10'd353+xpc10nz) || (0/*MS*/==-10'd352+xpc10nz) || (0/*MS*/==-10'd351+xpc10nz) || (0/*MS*/==-10'd350+xpc10nz) || (0/*MS*/== -10'd349+xpc10nz) || (0/*MS*/==-10'd348+xpc10nz) || (0/*MS*/==-10'd347+xpc10nz) || (0/*MS*/==-10'd346+xpc10nz) || (0/*MS*/== -10'd345+xpc10nz) || (0/*MS*/==-10'd344+xpc10nz) || (0/*MS*/==-10'd343+xpc10nz) || (0/*MS*/==-10'd342+xpc10nz) || (0/*MS*/== -10'd341+xpc10nz) || (0/*MS*/==-10'd340+xpc10nz) || (0/*MS*/==-10'd339+xpc10nz) || (0/*MS*/==-10'd338+xpc10nz) || (0/*MS*/== -10'd337+xpc10nz) || (0/*MS*/==-10'd336+xpc10nz) || (0/*MS*/==-10'd335+xpc10nz) || (0/*MS*/==-10'd334+xpc10nz) || (0/*MS*/== -10'd333+xpc10nz) || (0/*MS*/==-10'd332+xpc10nz) || (0/*MS*/==-10'd331+xpc10nz) || (0/*MS*/==-10'd330+xpc10nz) || (0/*MS*/== -10'd329+xpc10nz) || (0/*MS*/==-10'd328+xpc10nz) || (0/*MS*/==-10'd327+xpc10nz) || (0/*MS*/==-10'd326+xpc10nz) || (0/*MS*/== -10'd324+xpc10nz) || (0/*MS*/==-10'd322+xpc10nz) || (0/*MS*/==-10'd321+xpc10nz) || (0/*MS*/==-10'd320+xpc10nz) || (0/*MS*/== -10'd319+xpc10nz) || (0/*MS*/==-10'd318+xpc10nz) || (0/*MS*/==-10'd317+xpc10nz) || (0/*MS*/==-10'd316+xpc10nz) || (0/*MS*/== -10'd315+xpc10nz) || (0/*MS*/==-10'd314+xpc10nz) || (0/*MS*/==-10'd313+xpc10nz) || (0/*MS*/==-10'd312+xpc10nz) || (0/*MS*/== -10'd311+xpc10nz) || (0/*MS*/==-10'd310+xpc10nz) || (0/*MS*/==-10'd309+xpc10nz) || (0/*MS*/==-10'd308+xpc10nz) || (0/*MS*/== -10'd307+xpc10nz) || (0/*MS*/==-10'd305+xpc10nz) || (0/*MS*/==-10'd303+xpc10nz) || (0/*MS*/==-10'd302+xpc10nz) || (0/*MS*/== -10'd301+xpc10nz) || (0/*MS*/==-10'd300+xpc10nz) || (0/*MS*/==-10'd299+xpc10nz) || (0/*MS*/==-10'd298+xpc10nz) || (0/*MS*/== -10'd297+xpc10nz) || (0/*MS*/==-10'd296+xpc10nz) || (0/*MS*/==-10'd295+xpc10nz) || (0/*MS*/==-10'd294+xpc10nz) || (0/*MS*/== -10'd293+xpc10nz) || (0/*MS*/==-10'd292+xpc10nz) || (0/*MS*/==-10'd291+xpc10nz) || (0/*MS*/==-10'd290+xpc10nz) || (0/*MS*/== -10'd289+xpc10nz) || (0/*MS*/==-10'd288+xpc10nz) || (0/*MS*/==-10'd287+xpc10nz) || (0/*MS*/==-10'd286+xpc10nz) || (0/*MS*/== -10'd285+xpc10nz) || (0/*MS*/==-10'd284+xpc10nz) || (0/*MS*/==-10'd283+xpc10nz) || (0/*MS*/==-10'd282+xpc10nz) || (0/*MS*/== -10'd281+xpc10nz) || (0/*MS*/==-10'd280+xpc10nz) || (0/*MS*/==-10'd279+xpc10nz) || (0/*MS*/==-10'd278+xpc10nz) || (0/*MS*/== -10'd277+xpc10nz) || (0/*MS*/==-10'd276+xpc10nz) || (0/*MS*/==-10'd275+xpc10nz) || (0/*MS*/==-10'd274+xpc10nz) || (0/*MS*/== -10'd273+xpc10nz) || (0/*MS*/==-10'd272+xpc10nz) || (0/*MS*/==-10'd271+xpc10nz) || (0/*MS*/==-10'd270+xpc10nz) || (0/*MS*/== -10'd269+xpc10nz) || (0/*MS*/==-10'd268+xpc10nz) || (0/*MS*/==-10'd267+xpc10nz) || (0/*MS*/==-10'd266+xpc10nz) || (0/*MS*/== -10'd265+xpc10nz) || (0/*MS*/==-10'd264+xpc10nz) || (0/*MS*/==-10'd263+xpc10nz) || (0/*MS*/==-10'd262+xpc10nz) || (0/*MS*/== -10'd261+xpc10nz) || (0/*MS*/==-10'd260+xpc10nz) || (0/*MS*/==-10'd259+xpc10nz) || (0/*MS*/==-10'd258+xpc10nz) || (0/*MS*/== -10'd257+xpc10nz) || (0/*MS*/==-10'd256+xpc10nz) || (0/*MS*/==-9'd255+xpc10nz) || (0/*MS*/==-9'd254+xpc10nz) || (0/*MS*/== -9'd253+xpc10nz) || (0/*MS*/==-9'd252+xpc10nz) || (0/*MS*/==-9'd251+xpc10nz) || (0/*MS*/==-9'd250+xpc10nz) || (0/*MS*/== -9'd249+xpc10nz) || (0/*MS*/==-9'd248+xpc10nz) || (0/*MS*/==-9'd247+xpc10nz) || (0/*MS*/==-9'd246+xpc10nz) || (0/*MS*/== -9'd245+xpc10nz) || (0/*MS*/==-9'd244+xpc10nz) || (0/*MS*/==-9'd243+xpc10nz) || (0/*MS*/==-9'd242+xpc10nz) || (0/*MS*/== -9'd241+xpc10nz) || (0/*MS*/==-9'd240+xpc10nz) || (0/*MS*/==-9'd239+xpc10nz) || (0/*MS*/==-9'd238+xpc10nz) || (0/*MS*/== -9'd237+xpc10nz) || (0/*MS*/==-9'd236+xpc10nz) || (0/*MS*/==-9'd235+xpc10nz) || (0/*MS*/==-9'd234+xpc10nz) || (0/*MS*/== -9'd233+xpc10nz) || (0/*MS*/==-9'd232+xpc10nz) || (0/*MS*/==-9'd231+xpc10nz) || (0/*MS*/==-9'd230+xpc10nz) || (0/*MS*/== -9'd229+xpc10nz) || (0/*MS*/==-9'd228+xpc10nz) || (0/*MS*/==-9'd227+xpc10nz) || (0/*MS*/==-9'd226+xpc10nz) || (0/*MS*/== -9'd225+xpc10nz) || (0/*MS*/==-9'd224+xpc10nz) || (0/*MS*/==-9'd223+xpc10nz) || (0/*MS*/==-9'd222+xpc10nz) || (0/*MS*/== -9'd221+xpc10nz) || (0/*MS*/==-9'd220+xpc10nz) || (0/*MS*/==-9'd219+xpc10nz) || (0/*MS*/==-9'd218+xpc10nz) || (0/*MS*/== -9'd217+xpc10nz) || (0/*MS*/==-9'd216+xpc10nz) || (0/*MS*/==-9'd215+xpc10nz) || (0/*MS*/==-9'd214+xpc10nz) || (0/*MS*/== -9'd213+xpc10nz) || (0/*MS*/==-9'd212+xpc10nz) || (0/*MS*/==-9'd211+xpc10nz) || (0/*MS*/==-9'd210+xpc10nz) || (0/*MS*/== -9'd209+xpc10nz) || (0/*MS*/==-9'd208+xpc10nz) || (0/*MS*/==-9'd207+xpc10nz) || (0/*MS*/==-9'd206+xpc10nz) || (0/*MS*/== -9'd205+xpc10nz) || (0/*MS*/==-9'd204+xpc10nz) || (0/*MS*/==-9'd203+xpc10nz) || (0/*MS*/==-9'd202+xpc10nz) || (0/*MS*/== -9'd201+xpc10nz) || (0/*MS*/==-9'd200+xpc10nz) || (0/*MS*/==-9'd199+xpc10nz) || (0/*MS*/==-9'd198+xpc10nz) || (0/*MS*/== -9'd197+xpc10nz) || (0/*MS*/==-9'd196+xpc10nz) || (0/*MS*/==-9'd195+xpc10nz) || (0/*MS*/==-9'd194+xpc10nz) || (0/*MS*/== -9'd193+xpc10nz) || (0/*MS*/==-9'd192+xpc10nz) || (0/*MS*/==-9'd191+xpc10nz) || (0/*MS*/==-9'd190+xpc10nz) || (0/*MS*/== -9'd189+xpc10nz) || (0/*MS*/==-9'd188+xpc10nz) || (0/*MS*/==-9'd187+xpc10nz) || (0/*MS*/==-9'd186+xpc10nz) || (0/*MS*/== -9'd185+xpc10nz) || (0/*MS*/==-9'd184+xpc10nz) || (0/*MS*/==-9'd182+xpc10nz) || (0/*MS*/==-9'd181+xpc10nz) || (0/*MS*/== -9'd180+xpc10nz) || (0/*MS*/==-9'd179+xpc10nz) || (0/*MS*/==-9'd178+xpc10nz) || (0/*MS*/==-9'd176+xpc10nz) || (0/*MS*/== -9'd175+xpc10nz) || (0/*MS*/==-9'd174+xpc10nz) || (0/*MS*/==-9'd173+xpc10nz) || (0/*MS*/==-9'd172+xpc10nz) || (0/*MS*/== -9'd170+xpc10nz) || (0/*MS*/==-9'd169+xpc10nz) || (0/*MS*/==-9'd168+xpc10nz) || (0/*MS*/==-9'd167+xpc10nz) || (0/*MS*/== -9'd166+xpc10nz) || (0/*MS*/==-9'd164+xpc10nz) || (0/*MS*/==-9'd163+xpc10nz) || (0/*MS*/==-9'd162+xpc10nz) || (0/*MS*/== -9'd161+xpc10nz) || (0/*MS*/==-9'd160+xpc10nz) || (0/*MS*/==-9'd159+xpc10nz) || (0/*MS*/==-9'd158+xpc10nz) || (0/*MS*/== -9'd156+xpc10nz) || (0/*MS*/==-9'd155+xpc10nz) || (0/*MS*/==-9'd154+xpc10nz) || (0/*MS*/==-9'd153+xpc10nz) || (0/*MS*/== -9'd152+xpc10nz) || (0/*MS*/==-9'd150+xpc10nz) || (0/*MS*/==-9'd149+xpc10nz) || (0/*MS*/==-9'd148+xpc10nz) || (0/*MS*/== -9'd147+xpc10nz) || (0/*MS*/==-9'd146+xpc10nz) || (0/*MS*/==-9'd144+xpc10nz) || (0/*MS*/==-9'd143+xpc10nz) || (0/*MS*/== -9'd142+xpc10nz) || (0/*MS*/==-9'd141+xpc10nz) || (0/*MS*/==-9'd140+xpc10nz) || (0/*MS*/==-9'd138+xpc10nz) || (0/*MS*/== -9'd137+xpc10nz) || (0/*MS*/==-9'd136+xpc10nz) || (0/*MS*/==-9'd135+xpc10nz) || (0/*MS*/==-9'd134+xpc10nz) || (0/*MS*/== -9'd133+xpc10nz) || (0/*MS*/==-9'd132+xpc10nz) || (0/*MS*/==-9'd130+xpc10nz) || (0/*MS*/==-9'd129+xpc10nz) || (0/*MS*/== -9'd128+xpc10nz) || (0/*MS*/==-8'd127+xpc10nz) || (0/*MS*/==-8'd126+xpc10nz) || (0/*MS*/==-8'd124+xpc10nz) || (0/*MS*/== -8'd123+xpc10nz) || (0/*MS*/==-8'd122+xpc10nz) || (0/*MS*/==-8'd121+xpc10nz) || (0/*MS*/==-8'd120+xpc10nz) || (0/*MS*/== -8'd118+xpc10nz) || (0/*MS*/==-8'd117+xpc10nz) || (0/*MS*/==-8'd116+xpc10nz) || (0/*MS*/==-8'd115+xpc10nz) || (0/*MS*/== -8'd114+xpc10nz) || (0/*MS*/==-8'd112+xpc10nz) || (0/*MS*/==-8'd111+xpc10nz) || (0/*MS*/==-8'd110+xpc10nz) || (0/*MS*/== -8'd109+xpc10nz) || (0/*MS*/==-8'd108+xpc10nz) || (0/*MS*/==-8'd107+xpc10nz) || (0/*MS*/==-8'd106+xpc10nz) || (0/*MS*/== -8'd104+xpc10nz) || (0/*MS*/==-8'd103+xpc10nz) || (0/*MS*/==-8'd102+xpc10nz) || (0/*MS*/==-8'd101+xpc10nz) || (0/*MS*/== -8'd100+xpc10nz) || (0/*MS*/==-8'd98+xpc10nz) || (0/*MS*/==-8'd97+xpc10nz) || (0/*MS*/==-8'd96+xpc10nz) || (0/*MS*/==-8'd95 +xpc10nz) || (0/*MS*/==-8'd94+xpc10nz) || (0/*MS*/==-8'd92+xpc10nz) || (0/*MS*/==-8'd91+xpc10nz) || (0/*MS*/==-8'd90+xpc10nz ) || (0/*MS*/==-8'd89+xpc10nz) || (0/*MS*/==-8'd88+xpc10nz) || (0/*MS*/==-8'd86+xpc10nz) || (0/*MS*/==-8'd85+xpc10nz) || (0/*MS*/==-8'd84+xpc10nz) || (0/*MS*/==-8'd83+xpc10nz) || (0/*MS*/==-8'd82+xpc10nz) || (0/*MS*/==-8'd81+xpc10nz) || (0/*MS*/== -8'd80+xpc10nz) || (0/*MS*/==-8'd79+xpc10nz) || (0/*MS*/==-8'd78+xpc10nz) || (0/*MS*/==-8'd77+xpc10nz) || (0/*MS*/==-8'd76 +xpc10nz) || (0/*MS*/==-8'd75+xpc10nz) || (0/*MS*/==-8'd74+xpc10nz) || (0/*MS*/==-8'd73+xpc10nz) || (0/*MS*/==-8'd72+xpc10nz ) || (0/*MS*/==-8'd71+xpc10nz) || (0/*MS*/==-8'd70+xpc10nz) || (0/*MS*/==-8'd69+xpc10nz) || (0/*MS*/==-8'd68+xpc10nz) || (0/*MS*/==-8'd67+xpc10nz) || (0/*MS*/==-8'd66+xpc10nz) || (0/*MS*/==-8'd65+xpc10nz) || (0/*MS*/==-8'd64+xpc10nz) || (0/*MS*/== -7'd63+xpc10nz) || (0/*MS*/==-7'd62+xpc10nz) || (0/*MS*/==-7'd61+xpc10nz) || (0/*MS*/==-7'd60+xpc10nz) || (0/*MS*/==-7'd59 +xpc10nz) || (0/*MS*/==-7'd58+xpc10nz) || (0/*MS*/==-7'd57+xpc10nz) || (0/*MS*/==-7'd56+xpc10nz) || (0/*MS*/==-7'd55+xpc10nz ) || (0/*MS*/==-7'd54+xpc10nz) || (0/*MS*/==-7'd53+xpc10nz) || (0/*MS*/==-7'd52+xpc10nz) || (0/*MS*/==-7'd51+xpc10nz) || (0/*MS*/==-7'd50+xpc10nz) || (0/*MS*/==-7'd49+xpc10nz) || (0/*MS*/==-7'd48+xpc10nz) || (0/*MS*/==-7'd47+xpc10nz) || (0/*MS*/== -7'd46+xpc10nz) || (0/*MS*/==-7'd45+xpc10nz) || (0/*MS*/==-7'd44+xpc10nz) || (0/*MS*/==-7'd43+xpc10nz) || (0/*MS*/==-7'd42 +xpc10nz) || (0/*MS*/==-7'd41+xpc10nz) || (0/*MS*/==-7'd40+xpc10nz) || (0/*MS*/==-7'd39+xpc10nz) || (0/*MS*/==-7'd38+xpc10nz ) || (0/*MS*/==-7'd37+xpc10nz) || (0/*MS*/==-7'd36+xpc10nz) || (0/*MS*/==-7'd35+xpc10nz) || (0/*MS*/==-7'd34+xpc10nz) || (0/*MS*/==-7'd33+xpc10nz) || (0/*MS*/==-7'd32+xpc10nz) || (0/*MS*/==-6'd31+xpc10nz) || (0/*MS*/==-6'd30+xpc10nz) || (0/*MS*/== -6'd29+xpc10nz) || (0/*MS*/==-6'd28+xpc10nz) || (0/*MS*/==-6'd27+xpc10nz) || (0/*MS*/==-6'd26+xpc10nz) || (0/*MS*/==-6'd25 +xpc10nz) || (0/*MS*/==-6'd24+xpc10nz) || (0/*MS*/==-6'd23+xpc10nz) || (0/*MS*/==-6'd22+xpc10nz) || (0/*MS*/==-6'd21+xpc10nz ) || (0/*MS*/==-6'd20+xpc10nz) || (0/*MS*/==-6'd19+xpc10nz) || (0/*MS*/==-6'd18+xpc10nz) || (0/*MS*/==-6'd17+xpc10nz) || (0/*MS*/==-6'd16+xpc10nz) || (0/*MS*/==-5'd15+xpc10nz) || (0/*MS*/==-5'd14+xpc10nz) || (0/*MS*/==-5'd13+xpc10nz) || (0/*MS*/== -5'd12+xpc10nz) || (0/*MS*/==-5'd11+xpc10nz) || (0/*MS*/==-5'd10+xpc10nz) || (0/*MS*/==-5'd9+xpc10nz) || (0/*MS*/==-5'd8 +xpc10nz) || (0/*MS*/==-4'd7+xpc10nz) || (0/*MS*/==-4'd6+xpc10nz) || (0/*MS*/==-4'd5+xpc10nz) || (0/*MS*/==-4'd4+xpc10nz ) || (0/*MS*/==-3'd3+xpc10nz) || (0/*MS*/==-3'd2+xpc10nz) || (0/*MS*/==-2'd1+xpc10nz) || (xpc10nz==0/*US*/)) && !xpc10_clear && !xpc10_stall; if ((xpc10nz==0/*US*/)) xpc10nz <= 1'd1/*US*/; if ((xpc10nz==1'd1/*US*/)) xpc10nz <= 2'd2/*US*/; if ((xpc10nz==2'd2/*US*/)) xpc10nz <= 2'd3/*US*/; if ((xpc10nz==2'd3/*US*/)) xpc10nz <= 3'd4/*US*/; if ((xpc10nz==3'd4/*US*/)) xpc10nz <= 3'd5/*US*/; if ((xpc10nz==3'd5/*US*/)) xpc10nz <= 3'd6/*US*/; if ((xpc10nz==3'd6/*US*/)) xpc10nz <= 3'd7/*US*/; if ((xpc10nz==3'd7/*US*/)) xpc10nz <= 4'd8/*US*/; if ((xpc10nz==4'd8/*US*/)) xpc10nz <= 4'd9/*US*/; if ((xpc10nz==4'd9/*US*/)) xpc10nz <= 4'd10/*US*/; if ((xpc10nz==4'd10/*US*/)) xpc10nz <= 4'd11/*US*/; if ((xpc10nz==4'd11/*US*/)) xpc10nz <= 4'd12/*US*/; if ((xpc10nz==4'd12/*US*/)) xpc10nz <= 4'd13/*US*/; if ((xpc10nz==4'd13/*US*/)) xpc10nz <= 4'd14/*US*/; if ((xpc10nz==4'd14/*US*/)) xpc10nz <= 4'd15/*US*/; if ((xpc10nz==4'd15/*US*/)) xpc10nz <= 5'd16/*US*/; if ((xpc10nz==5'd16/*US*/)) xpc10nz <= 5'd17/*US*/; if ((xpc10nz==5'd17/*US*/)) xpc10nz <= 5'd18/*US*/; if ((xpc10nz==5'd18/*US*/)) xpc10nz <= 5'd19/*US*/; if ((xpc10nz==5'd19/*US*/)) xpc10nz <= 5'd20/*xpc10nz*/; if ((xpc10nz==5'd20/*US*/)) xpc10nz <= 5'd21/*US*/; if ((xpc10nz==5'd21/*US*/)) xpc10nz <= 5'd22/*xpc10nz*/; if ((xpc10nz==5'd23/*US*/)) xpc10nz <= 5'd24/*US*/; if ((xpc10nz==5'd24/*US*/)) xpc10nz <= 5'd25/*US*/; if ((xpc10nz==5'd25/*US*/)) xpc10nz <= 5'd26/*US*/; if ((xpc10nz==5'd26/*US*/)) xpc10nz <= 5'd27/*US*/; if ((xpc10nz==5'd27/*US*/)) xpc10nz <= 5'd28/*US*/; if ((xpc10nz==5'd28/*US*/)) xpc10nz <= 5'd29/*xpc10nz*/; if ((xpc10nz==6'd37/*US*/)) xpc10nz <= 6'd38/*US*/; if ((xpc10nz==6'd38/*US*/)) xpc10nz <= 6'd39/*US*/; if ((xpc10nz==6'd39/*US*/)) xpc10nz <= 6'd40/*US*/; if ((xpc10nz==6'd40/*US*/)) xpc10nz <= 6'd41/*xpc10nz*/; if ((xpc10nz==6'd42/*US*/)) xpc10nz <= 6'd43/*US*/; if ((xpc10nz==6'd43/*US*/)) xpc10nz <= 6'd44/*US*/; if ((xpc10nz==6'd44/*US*/)) xpc10nz <= 6'd45/*xpc10nz*/; if ((xpc10nz==6'd46/*US*/)) xpc10nz <= 6'd47/*US*/; if ((xpc10nz==6'd47/*US*/)) xpc10nz <= 6'd48/*US*/; if ((xpc10nz==6'd48/*US*/)) xpc10nz <= 6'd49/*xpc10nz*/; if ((xpc10nz==6'd50/*US*/)) xpc10nz <= 6'd51/*US*/; if ((xpc10nz==6'd51/*US*/)) xpc10nz <= 6'd52/*US*/; if ((xpc10nz==6'd52/*US*/)) xpc10nz <= 6'd53/*xpc10nz*/; if ((xpc10nz==6'd54/*US*/)) xpc10nz <= 6'd55/*US*/; if ((xpc10nz==6'd55/*US*/)) xpc10nz <= 6'd56/*US*/; if ((xpc10nz==6'd56/*US*/)) xpc10nz <= 6'd57/*US*/; if ((xpc10nz==6'd57/*US*/)) xpc10nz <= 6'd58/*US*/; if ((xpc10nz==6'd58/*US*/)) xpc10nz <= 6'd59/*US*/; if ((xpc10nz==6'd59/*US*/)) xpc10nz <= 6'd60/*xpc10nz*/; if ((xpc10nz==6'd61/*US*/)) xpc10nz <= 6'd62/*US*/; if ((xpc10nz==6'd62/*US*/)) xpc10nz <= 6'd63/*US*/; if ((xpc10nz==6'd63/*US*/)) xpc10nz <= 7'd64/*xpc10nz*/; if ((xpc10nz==7'd65/*US*/)) xpc10nz <= 7'd66/*US*/; if ((xpc10nz==7'd66/*US*/)) xpc10nz <= 7'd67/*US*/; if ((xpc10nz==7'd67/*US*/)) xpc10nz <= 7'd68/*xpc10nz*/; if ((xpc10nz==7'd69/*US*/)) xpc10nz <= 7'd70/*US*/; if ((xpc10nz==7'd70/*US*/)) xpc10nz <= 7'd71/*US*/; if ((xpc10nz==7'd71/*US*/)) xpc10nz <= 7'd72/*xpc10nz*/; if ((xpc10nz==7'd73/*US*/)) xpc10nz <= 7'd74/*US*/; if ((xpc10nz==7'd74/*US*/)) xpc10nz <= 7'd75/*US*/; if ((xpc10nz==7'd75/*US*/)) xpc10nz <= 7'd76/*US*/; if ((xpc10nz==7'd76/*US*/)) xpc10nz <= 7'd77/*US*/; if ((xpc10nz==7'd77/*US*/)) xpc10nz <= 7'd78/*xpc10nz*/; if ((xpc10nz==7'd79/*US*/)) xpc10nz <= 7'd80/*US*/; if ((xpc10nz==7'd80/*US*/)) xpc10nz <= 7'd81/*xpc10nz*/; if ((xpc10nz==7'd82/*US*/)) xpc10nz <= 7'd83/*US*/; if ((xpc10nz==7'd83/*US*/)) xpc10nz <= 7'd84/*US*/; if ((xpc10nz==7'd86/*US*/)) xpc10nz <= 7'd87/*xpc10nz*/; if ((xpc10nz==7'd87/*US*/)) xpc10nz <= 7'd88/*US*/; if ((xpc10nz==7'd88/*US*/)) xpc10nz <= 7'd89/*US*/; if ((xpc10nz==7'd89/*US*/)) xpc10nz <= 7'd90/*US*/; if ((xpc10nz==7'd92/*US*/)) xpc10nz <= 7'd93/*xpc10nz*/; if ((xpc10nz==7'd93/*US*/)) xpc10nz <= 7'd94/*US*/; if ((xpc10nz==7'd94/*US*/)) xpc10nz <= 7'd95/*US*/; if ((xpc10nz==7'd95/*US*/)) xpc10nz <= 7'd96/*US*/; if ((xpc10nz==7'd98/*US*/)) xpc10nz <= 7'd99/*xpc10nz*/; if ((xpc10nz==7'd99/*US*/)) xpc10nz <= 7'd100/*US*/; if ((xpc10nz==7'd100/*US*/)) xpc10nz <= 7'd101/*US*/; if ((xpc10nz==7'd101/*US*/)) xpc10nz <= 7'd102/*US*/; if ((xpc10nz==7'd104/*US*/)) xpc10nz <= 7'd105/*xpc10nz*/; if ((xpc10nz==7'd105/*US*/)) xpc10nz <= 7'd106/*US*/; if ((xpc10nz==7'd106/*US*/)) xpc10nz <= 7'd107/*US*/; if ((xpc10nz==7'd107/*US*/)) xpc10nz <= 7'd108/*xpc10nz*/; if ((xpc10nz==7'd109/*US*/)) xpc10nz <= 7'd110/*US*/; if ((xpc10nz==7'd112/*US*/)) xpc10nz <= 7'd113/*xpc10nz*/; if ((xpc10nz==7'd113/*US*/)) xpc10nz <= 7'd114/*US*/; if ((xpc10nz==7'd114/*US*/)) xpc10nz <= 7'd115/*US*/; if ((xpc10nz==7'd115/*US*/)) xpc10nz <= 7'd116/*US*/; if ((xpc10nz==7'd118/*US*/)) xpc10nz <= 7'd119/*xpc10nz*/; if ((xpc10nz==7'd119/*US*/)) xpc10nz <= 7'd120/*US*/; if ((xpc10nz==7'd120/*US*/)) xpc10nz <= 7'd121/*US*/; if ((xpc10nz==7'd121/*US*/)) xpc10nz <= 7'd122/*US*/; if ((xpc10nz==7'd124/*US*/)) xpc10nz <= 7'd125/*xpc10nz*/; if ((xpc10nz==7'd125/*US*/)) xpc10nz <= 7'd126/*US*/; if ((xpc10nz==7'd126/*US*/)) xpc10nz <= 7'd127/*US*/; if ((xpc10nz==7'd127/*US*/)) xpc10nz <= 8'd128/*US*/; if ((xpc10nz==8'd130/*US*/)) xpc10nz <= 8'd131/*xpc10nz*/; if ((xpc10nz==8'd131/*US*/)) xpc10nz <= 8'd132/*US*/; if ((xpc10nz==8'd132/*US*/)) xpc10nz <= 8'd133/*US*/; if ((xpc10nz==8'd133/*US*/)) xpc10nz <= 8'd134/*xpc10nz*/; if ((xpc10nz==8'd135/*US*/)) xpc10nz <= 8'd136/*US*/; if ((xpc10nz==8'd138/*US*/)) xpc10nz <= 8'd139/*xpc10nz*/; if ((xpc10nz==8'd139/*US*/)) xpc10nz <= 8'd140/*US*/; if ((xpc10nz==8'd140/*US*/)) xpc10nz <= 8'd141/*US*/; if ((xpc10nz==8'd141/*US*/)) xpc10nz <= 8'd142/*US*/; if ((xpc10nz==8'd144/*US*/)) xpc10nz <= 8'd145/*xpc10nz*/; if ((xpc10nz==8'd145/*US*/)) xpc10nz <= 8'd146/*US*/; if ((xpc10nz==8'd146/*US*/)) xpc10nz <= 8'd147/*US*/; if ((xpc10nz==8'd147/*US*/)) xpc10nz <= 8'd148/*US*/; if ((xpc10nz==8'd150/*US*/)) xpc10nz <= 8'd151/*xpc10nz*/; if ((xpc10nz==8'd151/*US*/)) xpc10nz <= 8'd152/*US*/; if ((xpc10nz==8'd152/*US*/)) xpc10nz <= 8'd153/*US*/; if ((xpc10nz==8'd153/*US*/)) xpc10nz <= 8'd154/*US*/; if ((xpc10nz==8'd156/*US*/)) xpc10nz <= 8'd157/*xpc10nz*/; if ((xpc10nz==8'd157/*US*/)) xpc10nz <= 8'd158/*US*/; if ((xpc10nz==8'd158/*US*/)) xpc10nz <= 8'd159/*US*/; if ((xpc10nz==8'd159/*US*/)) xpc10nz <= 8'd160/*xpc10nz*/; if ((xpc10nz==8'd161/*US*/)) xpc10nz <= 8'd162/*US*/; if ((xpc10nz==8'd164/*US*/)) xpc10nz <= 8'd165/*xpc10nz*/; if ((xpc10nz==8'd165/*US*/)) xpc10nz <= 8'd166/*US*/; if ((xpc10nz==8'd166/*US*/)) xpc10nz <= 8'd167/*US*/; if ((xpc10nz==8'd167/*US*/)) xpc10nz <= 8'd168/*US*/; if ((xpc10nz==8'd170/*US*/)) xpc10nz <= 8'd171/*xpc10nz*/; if ((xpc10nz==8'd171/*US*/)) xpc10nz <= 8'd172/*US*/; if ((xpc10nz==8'd172/*US*/)) xpc10nz <= 8'd173/*US*/; if ((xpc10nz==8'd173/*US*/)) xpc10nz <= 8'd174/*US*/; if ((xpc10nz==8'd176/*US*/)) xpc10nz <= 8'd177/*xpc10nz*/; if ((xpc10nz==8'd177/*US*/)) xpc10nz <= 8'd178/*US*/; if ((xpc10nz==8'd178/*US*/)) xpc10nz <= 8'd179/*US*/; if ((xpc10nz==8'd179/*US*/)) xpc10nz <= 8'd180/*US*/; if ((xpc10nz==8'd182/*US*/)) xpc10nz <= 8'd183/*xpc10nz*/; if ((xpc10nz==8'd183/*US*/)) xpc10nz <= 8'd184/*US*/; if ((xpc10nz==8'd184/*US*/)) xpc10nz <= 8'd185/*US*/; if ((xpc10nz==8'd185/*US*/)) xpc10nz <= 8'd186/*xpc10nz*/; if ((xpc10nz==8'd187/*US*/)) xpc10nz <= 8'd188/*US*/; if ((xpc10nz==8'd188/*US*/)) xpc10nz <= 8'd189/*US*/; if ((xpc10nz==8'd189/*US*/)) xpc10nz <= 8'd190/*US*/; if ((xpc10nz==8'd190/*US*/)) xpc10nz <= 8'd191/*xpc10nz*/; if ((xpc10nz==8'd192/*US*/)) xpc10nz <= 8'd193/*US*/; if ((xpc10nz==8'd193/*US*/)) xpc10nz <= 8'd194/*US*/; if ((xpc10nz==8'd194/*US*/)) xpc10nz <= 8'd195/*US*/; if ((xpc10nz==8'd196/*US*/)) xpc10nz <= 8'd197/*US*/; if ((xpc10nz==8'd197/*US*/)) xpc10nz <= 8'd198/*US*/; if ((xpc10nz==8'd198/*US*/)) xpc10nz <= 8'd199/*xpc10nz*/; if ((xpc10nz==8'd200/*US*/)) xpc10nz <= 8'd201/*xpc10nz*/; if ((xpc10nz==8'd201/*US*/)) xpc10nz <= 8'd202/*US*/; if ((xpc10nz==8'd202/*US*/)) xpc10nz <= 8'd203/*US*/; if ((xpc10nz==8'd203/*US*/)) xpc10nz <= 8'd204/*xpc10nz*/; if ((xpc10nz==8'd206/*US*/)) xpc10nz <= 8'd207/*xpc10nz*/; if ((xpc10nz==8'd212/*US*/)) xpc10nz <= 8'd213/*US*/; if ((xpc10nz==8'd213/*US*/)) xpc10nz <= 8'd214/*US*/; if ((xpc10nz==8'd214/*US*/)) xpc10nz <= 8'd215/*US*/; if ((xpc10nz==8'd216/*US*/)) xpc10nz <= 8'd217/*US*/; if ((xpc10nz==8'd217/*US*/)) xpc10nz <= 8'd218/*US*/; if ((xpc10nz==8'd218/*US*/)) xpc10nz <= 8'd219/*xpc10nz*/; if ((xpc10nz==8'd220/*US*/)) xpc10nz <= 8'd221/*xpc10nz*/; if ((xpc10nz==8'd221/*US*/)) xpc10nz <= 8'd222/*US*/; if ((xpc10nz==8'd222/*US*/)) xpc10nz <= 8'd223/*xpc10nz*/; if ((xpc10nz==8'd228/*US*/)) xpc10nz <= 8'd229/*US*/; if ((xpc10nz==8'd229/*US*/)) xpc10nz <= 8'd230/*US*/; if ((xpc10nz==8'd230/*US*/)) xpc10nz <= 8'd231/*US*/; if ((xpc10nz==8'd232/*US*/)) xpc10nz <= 8'd233/*US*/; if ((xpc10nz==8'd233/*US*/)) xpc10nz <= 8'd234/*US*/; if ((xpc10nz==8'd234/*US*/)) xpc10nz <= 8'd235/*xpc10nz*/; if ((xpc10nz==8'd236/*US*/)) xpc10nz <= 8'd237/*xpc10nz*/; if ((xpc10nz==8'd237/*US*/)) xpc10nz <= 8'd238/*US*/; if ((xpc10nz==8'd238/*US*/)) xpc10nz <= 8'd239/*xpc10nz*/; if ((xpc10nz==8'd240/*US*/)) xpc10nz <= 8'd241/*xpc10nz*/; if ((xpc10nz==8'd242/*US*/)) xpc10nz <= 8'd243/*xpc10nz*/; if ((xpc10nz==8'd244/*US*/)) xpc10nz <= 8'd245/*xpc10nz*/; if ((xpc10nz==8'd246/*US*/)) xpc10nz <= 8'd247/*xpc10nz*/; if ((xpc10nz==8'd248/*US*/)) xpc10nz <= 8'd249/*US*/; if ((xpc10nz==8'd249/*US*/)) xpc10nz <= 8'd250/*xpc10nz*/; if ((xpc10nz==8'd252/*US*/)) xpc10nz <= 8'd253/*xpc10nz*/; if ((xpc10nz==9'd257/*US*/)) xpc10nz <= 9'd258/*xpc10nz*/; if ((xpc10nz==9'd261/*US*/)) xpc10nz <= 9'd262/*US*/; if ((xpc10nz==9'd262/*US*/)) xpc10nz <= 9'd263/*US*/; if ((xpc10nz==9'd263/*US*/)) xpc10nz <= 9'd264/*xpc10nz*/; if ((xpc10nz==9'd265/*US*/)) xpc10nz <= 9'd266/*US*/; if ((xpc10nz==9'd266/*US*/)) xpc10nz <= 9'd267/*US*/; if ((xpc10nz==9'd267/*US*/)) xpc10nz <= 9'd268/*xpc10nz*/; if ((xpc10nz==9'd270/*US*/)) xpc10nz <= 9'd271/*US*/; if ((xpc10nz==9'd271/*US*/)) xpc10nz <= 9'd272/*US*/; if ((xpc10nz==9'd272/*US*/)) xpc10nz <= 9'd273/*US*/; if ((xpc10nz==9'd274/*US*/)) xpc10nz <= 9'd275/*US*/; if ((xpc10nz==9'd275/*US*/)) xpc10nz <= 9'd276/*US*/; if ((xpc10nz==9'd276/*US*/)) xpc10nz <= 9'd277/*xpc10nz*/; if ((xpc10nz==9'd278/*US*/)) xpc10nz <= 9'd279/*US*/; if ((xpc10nz==9'd279/*US*/)) xpc10nz <= 9'd280/*US*/; if ((xpc10nz==9'd280/*US*/)) xpc10nz <= 9'd281/*xpc10nz*/; if ((xpc10nz==9'd283/*US*/)) xpc10nz <= 8'd229/*US*/; if ((xpc10nz==9'd284/*US*/)) xpc10nz <= 9'd285/*US*/; if ((xpc10nz==9'd285/*US*/)) xpc10nz <= 9'd286/*xpc10nz*/; if ((xpc10nz==9'd288/*US*/)) xpc10nz <= 9'd289/*US*/; if ((xpc10nz==9'd289/*US*/)) xpc10nz <= 9'd290/*US*/; if ((xpc10nz==9'd290/*US*/)) xpc10nz <= 9'd291/*US*/; if ((xpc10nz==9'd292/*US*/)) xpc10nz <= 9'd293/*US*/; if ((xpc10nz==9'd293/*US*/)) xpc10nz <= 9'd294/*US*/; if ((xpc10nz==9'd294/*US*/)) xpc10nz <= 9'd295/*US*/; if ((xpc10nz==9'd296/*US*/)) xpc10nz <= 9'd297/*US*/; if ((xpc10nz==9'd297/*US*/)) xpc10nz <= 9'd298/*US*/; if ((xpc10nz==9'd298/*US*/)) xpc10nz <= 9'd299/*xpc10nz*/; if ((xpc10nz==9'd300/*US*/)) xpc10nz <= 9'd266/*US*/; if ((xpc10nz==9'd301/*US*/)) xpc10nz <= 9'd302/*US*/; if ((xpc10nz==9'd302/*US*/)) xpc10nz <= 9'd303/*xpc10nz*/; if ((xpc10nz==9'd303/*US*/)) xpc10nz <= 9'd304/*xpc10nz*/; if ((xpc10nz==9'd305/*US*/)) xpc10nz <= 9'd306/*xpc10nz*/; if ((xpc10nz==9'd308/*US*/)) xpc10nz <= 9'd266/*US*/; if ((xpc10nz==9'd309/*US*/)) xpc10nz <= 9'd310/*US*/; if ((xpc10nz==9'd310/*US*/)) xpc10nz <= 9'd311/*US*/; if ((xpc10nz==9'd311/*US*/)) xpc10nz <= 9'd312/*xpc10nz*/; if ((xpc10nz==9'd315/*US*/)) xpc10nz <= 9'd316/*US*/; if ((xpc10nz==9'd316/*US*/)) xpc10nz <= 9'd317/*US*/; if ((xpc10nz==9'd317/*US*/)) xpc10nz <= 9'd318/*xpc10nz*/; if ((xpc10nz==9'd319/*US*/)) xpc10nz <= 9'd320/*US*/; if ((xpc10nz==9'd320/*US*/)) xpc10nz <= 9'd321/*US*/; if ((xpc10nz==9'd321/*US*/)) xpc10nz <= 9'd322/*xpc10nz*/; if ((xpc10nz==9'd324/*US*/)) xpc10nz <= 9'd325/*xpc10nz*/; if ((xpc10nz==9'd326/*US*/)) xpc10nz <= 9'd327/*US*/; if ((xpc10nz==9'd327/*US*/)) xpc10nz <= 9'd328/*US*/; if ((xpc10nz==9'd328/*US*/)) xpc10nz <= 9'd329/*US*/; if ((xpc10nz==9'd329/*US*/)) xpc10nz <= 9'd293/*US*/; if ((xpc10nz==9'd330/*US*/)) xpc10nz <= 9'd331/*US*/; if ((xpc10nz==9'd331/*US*/)) xpc10nz <= 9'd332/*xpc10nz*/; if ((xpc10nz==9'd333/*US*/)) xpc10nz <= 9'd289/*US*/; if ((xpc10nz==9'd334/*US*/)) xpc10nz <= 9'd335/*US*/; if ((xpc10nz==9'd335/*US*/)) xpc10nz <= 9'd336/*xpc10nz*/; if ((xpc10nz==9'd338/*US*/)) xpc10nz <= 9'd339/*xpc10nz*/; if ((xpc10nz==9'd347/*US*/)) xpc10nz <= 9'd348/*US*/; if ((xpc10nz==9'd348/*US*/)) xpc10nz <= 9'd349/*US*/; if ((xpc10nz==9'd349/*US*/)) xpc10nz <= 9'd350/*xpc10nz*/; if ((xpc10nz==9'd351/*US*/)) xpc10nz <= 9'd352/*US*/; if ((xpc10nz==9'd352/*US*/)) xpc10nz <= 9'd353/*US*/; if ((xpc10nz==9'd353/*US*/)) xpc10nz <= 9'd354/*xpc10nz*/; if ((xpc10nz==9'd356/*US*/)) xpc10nz <= 9'd357/*US*/; if ((xpc10nz==9'd357/*US*/)) xpc10nz <= 9'd358/*US*/; if ((xpc10nz==9'd358/*US*/)) xpc10nz <= 9'd359/*xpc10nz*/; if ((xpc10nz==9'd360/*US*/)) xpc10nz <= 9'd361/*US*/; if ((xpc10nz==9'd361/*US*/)) xpc10nz <= 9'd362/*US*/; if ((xpc10nz==9'd362/*US*/)) xpc10nz <= 9'd363/*xpc10nz*/; if ((xpc10nz==9'd365/*US*/)) xpc10nz <= 8'd213/*US*/; if ((xpc10nz==9'd366/*US*/)) xpc10nz <= 9'd367/*US*/; if ((xpc10nz==9'd367/*US*/)) xpc10nz <= 9'd368/*US*/; if ((xpc10nz==9'd369/*US*/)) xpc10nz <= 9'd370/*US*/; if ((xpc10nz==9'd370/*US*/)) xpc10nz <= 9'd371/*US*/; if ((xpc10nz==9'd371/*US*/)) xpc10nz <= 9'd372/*xpc10nz*/; if ((xpc10nz==9'd373/*US*/)) xpc10nz <= 9'd352/*US*/; if ((xpc10nz==9'd374/*US*/)) xpc10nz <= 9'd375/*US*/; if ((xpc10nz==9'd375/*US*/)) xpc10nz <= 9'd376/*US*/; if ((xpc10nz==9'd376/*US*/)) xpc10nz <= 9'd377/*xpc10nz*/; if ((xpc10nz==9'd381/*US*/)) xpc10nz <= 9'd382/*xpc10nz*/; if ((xpc10nz==9'd382/*US*/)) xpc10nz <= 9'd383/*US*/; if ((xpc10nz==9'd383/*US*/)) xpc10nz <= 9'd384/*xpc10nz*/; if ((xpc10nz==9'd385/*US*/)) xpc10nz <= 9'd386/*US*/; if ((xpc10nz==9'd386/*US*/)) xpc10nz <= 9'd387/*US*/; if ((xpc10nz==9'd387/*US*/)) xpc10nz <= 9'd388/*xpc10nz*/; if ((xpc10nz==9'd390/*US*/)) xpc10nz <= 9'd391/*US*/; if ((xpc10nz==9'd391/*US*/)) xpc10nz <= 9'd392/*US*/; if ((xpc10nz==9'd392/*US*/)) xpc10nz <= 9'd393/*US*/; if ((xpc10nz==9'd394/*US*/)) xpc10nz <= 9'd395/*US*/; if ((xpc10nz==9'd395/*US*/)) xpc10nz <= 9'd396/*US*/; if ((xpc10nz==9'd396/*US*/)) xpc10nz <= 9'd397/*US*/; if ((xpc10nz==9'd398/*US*/)) xpc10nz <= 9'd399/*US*/; if ((xpc10nz==9'd399/*US*/)) xpc10nz <= 9'd400/*US*/; if ((xpc10nz==9'd400/*US*/)) xpc10nz <= 9'd401/*xpc10nz*/; if ((xpc10nz==9'd402/*US*/)) xpc10nz <= 9'd403/*xpc10nz*/; if ((xpc10nz==9'd404/*US*/)) xpc10nz <= 9'd405/*xpc10nz*/; if ((xpc10nz==9'd406/*US*/)) xpc10nz <= 9'd407/*xpc10nz*/; if ((xpc10nz==9'd408/*US*/)) xpc10nz <= 9'd409/*xpc10nz*/; if ((xpc10nz==9'd412/*US*/)) xpc10nz <= 9'd413/*xpc10nz*/; if ((xpc10nz==9'd413/*US*/)) xpc10nz <= 9'd414/*US*/; if ((xpc10nz==9'd414/*US*/)) xpc10nz <= 9'd415/*US*/; if ((xpc10nz==9'd415/*US*/)) xpc10nz <= 9'd416/*US*/; if ((xpc10nz==9'd418/*US*/)) xpc10nz <= 9'd419/*xpc10nz*/; if ((xpc10nz==9'd419/*US*/)) xpc10nz <= 9'd420/*US*/; if ((xpc10nz==9'd420/*US*/)) xpc10nz <= 9'd421/*US*/; if ((xpc10nz==9'd421/*US*/)) xpc10nz <= 9'd422/*US*/; if ((xpc10nz==9'd424/*US*/)) xpc10nz <= 9'd425/*xpc10nz*/; if ((xpc10nz==9'd425/*US*/)) xpc10nz <= 9'd426/*US*/; if ((xpc10nz==9'd426/*US*/)) xpc10nz <= 9'd427/*US*/; if ((xpc10nz==9'd427/*US*/)) xpc10nz <= 9'd428/*US*/; if ((xpc10nz==9'd430/*US*/)) xpc10nz <= 9'd431/*xpc10nz*/; if ((xpc10nz==9'd431/*US*/)) xpc10nz <= 9'd432/*US*/; if ((xpc10nz==9'd432/*US*/)) xpc10nz <= 9'd433/*US*/; if ((xpc10nz==9'd433/*US*/)) xpc10nz <= 9'd434/*US*/; if ((xpc10nz==9'd436/*US*/)) xpc10nz <= 9'd437/*xpc10nz*/; if ((xpc10nz==9'd437/*US*/)) xpc10nz <= 9'd438/*US*/; if ((xpc10nz==9'd438/*US*/)) xpc10nz <= 9'd439/*US*/; if ((xpc10nz==9'd439/*US*/)) xpc10nz <= 9'd440/*US*/; if ((xpc10nz==9'd442/*US*/)) xpc10nz <= 9'd443/*xpc10nz*/; if ((xpc10nz==9'd443/*US*/)) xpc10nz <= 9'd444/*US*/; if ((xpc10nz==9'd444/*US*/)) xpc10nz <= 9'd445/*US*/; if ((xpc10nz==9'd445/*US*/)) xpc10nz <= 9'd446/*US*/; if ((xpc10nz==9'd448/*US*/)) xpc10nz <= 9'd449/*xpc10nz*/; if ((xpc10nz==9'd449/*US*/)) xpc10nz <= 9'd450/*US*/; if ((xpc10nz==9'd450/*US*/)) xpc10nz <= 9'd451/*US*/; if ((xpc10nz==9'd451/*US*/)) xpc10nz <= 9'd452/*US*/; if ((xpc10nz==9'd454/*US*/)) xpc10nz <= 9'd455/*xpc10nz*/; if ((xpc10nz==9'd455/*US*/)) xpc10nz <= 9'd456/*US*/; if ((xpc10nz==9'd456/*US*/)) xpc10nz <= 9'd457/*US*/; if ((xpc10nz==9'd457/*US*/)) xpc10nz <= 9'd458/*xpc10nz*/; if ((xpc10nz==9'd460/*US*/)) xpc10nz <= 9'd461/*xpc10nz*/; if ((xpc10nz==9'd465/*US*/)) xpc10nz <= 9'd466/*US*/; if ((xpc10nz==9'd466/*US*/)) xpc10nz <= 9'd467/*US*/; if ((xpc10nz==9'd467/*US*/)) xpc10nz <= 9'd468/*US*/; if ((xpc10nz==9'd468/*US*/)) xpc10nz <= 9'd386/*US*/; if ((xpc10nz==9'd469/*US*/)) xpc10nz <= 9'd470/*US*/; if ((xpc10nz==9'd470/*US*/)) xpc10nz <= 9'd471/*US*/; if ((xpc10nz==9'd473/*US*/)) xpc10nz <= 9'd474/*xpc10nz*/; if ((xpc10nz==9'd474/*US*/)) xpc10nz <= 9'd475/*US*/; if ((xpc10nz==9'd475/*US*/)) xpc10nz <= 9'd476/*US*/; if ((xpc10nz==9'd476/*US*/)) xpc10nz <= 9'd477/*US*/; if ((xpc10nz==9'd479/*US*/)) xpc10nz <= 9'd480/*xpc10nz*/; if ((xpc10nz==9'd480/*US*/)) xpc10nz <= 9'd481/*US*/; if ((xpc10nz==9'd481/*US*/)) xpc10nz <= 9'd482/*US*/; if ((xpc10nz==9'd482/*US*/)) xpc10nz <= 9'd483/*US*/; if ((xpc10nz==9'd485/*US*/)) xpc10nz <= 9'd486/*xpc10nz*/; if ((xpc10nz==9'd486/*US*/)) xpc10nz <= 9'd487/*US*/; if ((xpc10nz==9'd487/*US*/)) xpc10nz <= 9'd488/*US*/; if ((xpc10nz==9'd488/*US*/)) xpc10nz <= 9'd489/*US*/; if ((xpc10nz==9'd491/*US*/)) xpc10nz <= 9'd492/*xpc10nz*/; if ((xpc10nz==9'd492/*US*/)) xpc10nz <= 9'd493/*US*/; if ((xpc10nz==9'd493/*US*/)) xpc10nz <= 9'd494/*US*/; if ((xpc10nz==9'd494/*US*/)) xpc10nz <= 9'd495/*US*/; if ((xpc10nz==9'd497/*US*/)) xpc10nz <= 9'd498/*xpc10nz*/; if ((xpc10nz==9'd498/*US*/)) xpc10nz <= 9'd499/*US*/; if ((xpc10nz==9'd499/*US*/)) xpc10nz <= 9'd500/*US*/; if ((xpc10nz==9'd500/*US*/)) xpc10nz <= 9'd501/*US*/; if ((xpc10nz==9'd503/*US*/)) xpc10nz <= 9'd504/*xpc10nz*/; if ((xpc10nz==9'd504/*US*/)) xpc10nz <= 9'd505/*US*/; if ((xpc10nz==9'd505/*US*/)) xpc10nz <= 9'd506/*US*/; if ((xpc10nz==9'd506/*US*/)) xpc10nz <= 9'd507/*US*/; if ((xpc10nz==9'd509/*US*/)) xpc10nz <= 9'd510/*xpc10nz*/; if ((xpc10nz==9'd510/*US*/)) xpc10nz <= 9'd511/*US*/; if ((xpc10nz==9'd511/*US*/)) xpc10nz <= 10'd512/*US*/; if ((xpc10nz==10'd512/*US*/)) xpc10nz <= 10'd513/*US*/; if ((xpc10nz==10'd515/*US*/)) xpc10nz <= 10'd516/*xpc10nz*/; if ((xpc10nz==10'd516/*US*/)) xpc10nz <= 10'd517/*US*/; if ((xpc10nz==10'd517/*US*/)) xpc10nz <= 10'd518/*US*/; if ((xpc10nz==10'd518/*US*/)) xpc10nz <= 10'd519/*xpc10nz*/; if ((xpc10nz==10'd520/*US*/)) xpc10nz <= 9'd352/*US*/; if ((xpc10nz==10'd521/*US*/)) xpc10nz <= 10'd522/*US*/; if ((xpc10nz==10'd522/*US*/)) xpc10nz <= 10'd523/*US*/; if ((xpc10nz==10'd523/*US*/)) xpc10nz <= 10'd524/*xpc10nz*/; if ((xpc10nz==10'd527/*US*/)) xpc10nz <= 10'd528/*US*/; if ((xpc10nz==10'd528/*US*/)) xpc10nz <= 10'd529/*US*/; if ((xpc10nz==10'd529/*US*/)) xpc10nz <= 10'd530/*xpc10nz*/; if ((xpc10nz==10'd531/*US*/)) xpc10nz <= 10'd532/*US*/; if ((xpc10nz==10'd532/*US*/)) xpc10nz <= 10'd533/*US*/; if ((xpc10nz==10'd533/*US*/)) xpc10nz <= 10'd534/*xpc10nz*/; if ((xpc10nz==10'd536/*US*/)) xpc10nz <= 10'd537/*xpc10nz*/; if ((xpc10nz==10'd538/*US*/)) xpc10nz <= 10'd539/*US*/; if ((xpc10nz==10'd539/*US*/)) xpc10nz <= 10'd540/*US*/; if ((xpc10nz==10'd540/*US*/)) xpc10nz <= 10'd541/*US*/; if ((xpc10nz==10'd543/*US*/)) xpc10nz <= 10'd544/*xpc10nz*/; if ((xpc10nz==10'd544/*US*/)) xpc10nz <= 10'd545/*US*/; if ((xpc10nz==10'd545/*US*/)) xpc10nz <= 10'd546/*US*/; if ((xpc10nz==10'd546/*US*/)) xpc10nz <= 10'd547/*US*/; if ((xpc10nz==10'd549/*US*/)) xpc10nz <= 10'd550/*xpc10nz*/; if ((xpc10nz==10'd550/*US*/)) xpc10nz <= 10'd551/*US*/; if ((xpc10nz==10'd551/*US*/)) xpc10nz <= 10'd552/*US*/; if ((xpc10nz==10'd552/*US*/)) xpc10nz <= 10'd553/*US*/; if ((xpc10nz==10'd555/*US*/)) xpc10nz <= 10'd556/*xpc10nz*/; if ((xpc10nz==10'd556/*US*/)) xpc10nz <= 10'd557/*US*/; if ((xpc10nz==10'd557/*US*/)) xpc10nz <= 10'd558/*US*/; if ((xpc10nz==10'd558/*US*/)) xpc10nz <= 10'd559/*US*/; if ((xpc10nz==10'd561/*US*/)) xpc10nz <= 10'd562/*xpc10nz*/; if ((xpc10nz==10'd562/*US*/)) xpc10nz <= 10'd563/*US*/; if ((xpc10nz==10'd563/*US*/)) xpc10nz <= 10'd564/*US*/; if ((xpc10nz==10'd564/*US*/)) xpc10nz <= 10'd565/*US*/; if ((xpc10nz==10'd565/*US*/)) xpc10nz <= 9'd395/*US*/; if ((xpc10nz==10'd566/*US*/)) xpc10nz <= 10'd567/*US*/; if ((xpc10nz==10'd567/*US*/)) xpc10nz <= 10'd568/*xpc10nz*/; if ((xpc10nz==10'd569/*US*/)) xpc10nz <= 9'd391/*US*/; if ((xpc10nz==10'd570/*US*/)) xpc10nz <= 10'd571/*US*/; if ((xpc10nz==10'd571/*US*/)) xpc10nz <= 10'd572/*xpc10nz*/; if ((xpc10nz==10'd573/*US*/)) xpc10nz <= 10'd574/*US*/; if ((xpc10nz==10'd574/*US*/)) xpc10nz <= 10'd575/*US*/; if ((xpc10nz==10'd575/*US*/)) xpc10nz <= 10'd576/*US*/; if ((xpc10nz==10'd577/*US*/)) xpc10nz <= 8'd189/*US*/; if ((xpc10nz==10'd578/*US*/)) xpc10nz <= 10'd579/*US*/; if ((xpc10nz==10'd579/*US*/)) xpc10nz <= 10'd580/*US*/; if ((xpc10nz==10'd582/*US*/)) xpc10nz <= 10'd583/*xpc10nz*/; if ((xpc10nz==10'd583/*US*/)) xpc10nz <= 10'd584/*US*/; if ((xpc10nz==10'd584/*US*/)) xpc10nz <= 10'd585/*US*/; if ((xpc10nz==10'd585/*US*/)) xpc10nz <= 10'd586/*US*/; if ((xpc10nz==10'd588/*US*/)) xpc10nz <= 10'd589/*xpc10nz*/; if ((xpc10nz==10'd589/*US*/)) xpc10nz <= 10'd590/*US*/; if ((xpc10nz==10'd590/*US*/)) xpc10nz <= 10'd591/*US*/; if ((xpc10nz==10'd591/*US*/)) xpc10nz <= 10'd592/*US*/; if ((xpc10nz==10'd594/*US*/)) xpc10nz <= 10'd595/*xpc10nz*/; if ((xpc10nz==10'd595/*US*/)) xpc10nz <= 10'd596/*US*/; if ((xpc10nz==10'd596/*US*/)) xpc10nz <= 10'd597/*US*/; if ((xpc10nz==10'd597/*US*/)) xpc10nz <= 10'd598/*US*/; if ((xpc10nz==10'd600/*US*/)) xpc10nz <= 10'd601/*xpc10nz*/; if ((xpc10nz==10'd601/*US*/)) xpc10nz <= 10'd602/*US*/; if ((xpc10nz==10'd602/*US*/)) xpc10nz <= 10'd603/*US*/; if ((xpc10nz==10'd603/*US*/)) xpc10nz <= 10'd604/*xpc10nz*/; if ((xpc10nz==10'd605/*US*/)) xpc10nz <= 10'd574/*US*/; end //End structure HPR cuckoo_hash_demo.exe end CV_SP_SSRAM_FL1 #(6'd32, 4'd13, 14'd8192, 6'd32) A_SINT_CC_MAPR10NoCE3_ARA0(clk, reset, A_SINT_CC_MAPR10NoCE3_ARA0_RDD0, A_SINT_CC_MAPR10NoCE3_ARA0_AD0 , A_SINT_CC_MAPR10NoCE3_ARA0_WEN0, A_SINT_CC_MAPR10NoCE3_ARA0_REN0, A_SINT_CC_MAPR10NoCE3_ARA0_WRD0); CV_SP_SSRAM_FL1 #(6'd32, 4'd13, 14'd8192, 6'd32) A_SINT_CC_MAPR10NoCE2_ARA0(clk, reset, A_SINT_CC_MAPR10NoCE2_ARA0_RDD0, A_SINT_CC_MAPR10NoCE2_ARA0_AD0 , A_SINT_CC_MAPR10NoCE2_ARA0_WEN0, A_SINT_CC_MAPR10NoCE2_ARA0_REN0, A_SINT_CC_MAPR10NoCE2_ARA0_WRD0); CV_SP_SSRAM_FL1 #(6'd32, 4'd13, 14'd8192, 6'd32) A_SINT_CC_MAPR10NoCE1_ARA0(clk, reset, A_SINT_CC_MAPR10NoCE1_ARA0_RDD0, A_SINT_CC_MAPR10NoCE1_ARA0_AD0 , A_SINT_CC_MAPR10NoCE1_ARA0_WEN0, A_SINT_CC_MAPR10NoCE1_ARA0_REN0, A_SINT_CC_MAPR10NoCE1_ARA0_WRD0); CV_SP_SSRAM_FL1 #(6'd32, 4'd13, 14'd8192, 6'd32) A_SINT_CC_MAPR10NoCE0_ARA0(clk, reset, A_SINT_CC_MAPR10NoCE0_ARA0_RDD0, A_SINT_CC_MAPR10NoCE0_ARA0_AD0 , A_SINT_CC_MAPR10NoCE0_ARA0_WEN0, A_SINT_CC_MAPR10NoCE0_ARA0_REN0, A_SINT_CC_MAPR10NoCE0_ARA0_WRD0); CV_SP_SSRAM_FL1 #(6'd32, 4'd13, 14'd8192, 6'd32) A_SINT_CC_MAPR12NoCE3_ARB0(clk, reset, A_SINT_CC_MAPR12NoCE3_ARB0_RDD0, A_SINT_CC_MAPR12NoCE3_ARB0_AD0 , A_SINT_CC_MAPR12NoCE3_ARB0_WEN0, A_SINT_CC_MAPR12NoCE3_ARB0_REN0, A_SINT_CC_MAPR12NoCE3_ARB0_WRD0); CV_SP_SSRAM_FL1 #(6'd32, 4'd13, 14'd8192, 6'd32) A_SINT_CC_MAPR12NoCE2_ARB0(clk, reset, A_SINT_CC_MAPR12NoCE2_ARB0_RDD0, A_SINT_CC_MAPR12NoCE2_ARB0_AD0 , A_SINT_CC_MAPR12NoCE2_ARB0_WEN0, A_SINT_CC_MAPR12NoCE2_ARB0_REN0, A_SINT_CC_MAPR12NoCE2_ARB0_WRD0); CV_SP_SSRAM_FL1 #(6'd32, 4'd13, 14'd8192, 6'd32) A_SINT_CC_MAPR12NoCE1_ARB0(clk, reset, A_SINT_CC_MAPR12NoCE1_ARB0_RDD0, A_SINT_CC_MAPR12NoCE1_ARB0_AD0 , A_SINT_CC_MAPR12NoCE1_ARB0_WEN0, A_SINT_CC_MAPR12NoCE1_ARB0_REN0, A_SINT_CC_MAPR12NoCE1_ARB0_WRD0); CV_SP_SSRAM_FL1 #(6'd32, 4'd13, 14'd8192, 6'd32) A_SINT_CC_MAPR12NoCE0_ARB0(clk, reset, A_SINT_CC_MAPR12NoCE0_ARB0_RDD0, A_SINT_CC_MAPR12NoCE0_ARB0_AD0 , A_SINT_CC_MAPR12NoCE0_ARB0_WEN0, A_SINT_CC_MAPR12NoCE0_ARB0_REN0, A_SINT_CC_MAPR12NoCE0_ARB0_WRD0); CV_SP_SSRAM_FL1 #(7'd64, 4'd15, 16'd32768, 7'd64) A_64_US_CC_SCALbx26_ARA0(clk, reset, A_64_US_CC_SCALbx26_ARA0_RDD0, A_64_US_CC_SCALbx26_ARA0_AD0 , A_64_US_CC_SCALbx26_ARA0_WEN0, A_64_US_CC_SCALbx26_ARA0_REN0, A_64_US_CC_SCALbx26_ARA0_WRD0); CV_INT_VL_MODULUS_S isMODULUS10(clk, reset, isMODULUS10_rdy, isMODULUS10_req, isMODULUS10_RR, isMODULUS10_NN, isMODULUS10_DD, isMODULUS10_err ); always @(*) xpc10_clear = (xpc10nz==0/*US*/) || (xpc10nz==1'd1/*US*/) || (xpc10nz==2'd2/*US*/) || (xpc10nz==2'd3/*US*/) || (xpc10nz==3'd4/*US*/) || (xpc10nz==3'd5 /*US*/) || (xpc10nz==3'd6/*US*/) || (xpc10nz==3'd7/*US*/) || (xpc10nz==4'd8/*US*/) || (xpc10nz==4'd9/*US*/) || (xpc10nz==4'd10/*US*/) || (xpc10nz==4'd11/*US*/) || (xpc10nz==4'd12/*US*/) || (xpc10nz==4'd13/*US*/) || (xpc10nz==4'd14/*US*/) || (xpc10nz==4'd15/*US*/) || (xpc10nz ==5'd16/*US*/) || (xpc10nz==5'd17/*US*/) || (xpc10nz==5'd18/*US*/) || (xpc10nz==5'd19/*US*/) || (xpc10nz==5'd20/*US*/) || (xpc10nz==5'd21 /*US*/) || (xpc10nz==5'd22/*US*/) || (xpc10nz==5'd23/*US*/) || (xpc10nz==5'd24/*US*/) || (xpc10nz==5'd25/*US*/) || (xpc10nz==5'd26/*US*/) || (xpc10nz==5'd27/*US*/) || (xpc10nz==5'd28/*US*/) || (xpc10nz==5'd29/*US*/) || (xpc10nz==5'd30/*US*/) || (xpc10nz==5'd31/*US*/) || (xpc10nz ==6'd32/*US*/) || (xpc10nz==6'd33/*US*/) || (xpc10nz==6'd34/*US*/) || (xpc10nz==6'd35/*US*/) || (xpc10nz==6'd36/*US*/) || (xpc10nz==6'd37 /*US*/) || (xpc10nz==6'd38/*US*/) || (xpc10nz==6'd39/*US*/) || (xpc10nz==6'd40/*US*/) || (xpc10nz==6'd41/*US*/) || (xpc10nz==6'd42/*US*/) || (xpc10nz==6'd43/*US*/) || (xpc10nz==6'd44/*US*/) || (xpc10nz==6'd45/*US*/) || (xpc10nz==6'd46/*US*/) || (xpc10nz==6'd47/*US*/) || (xpc10nz ==6'd48/*US*/) || (xpc10nz==6'd49/*US*/) || (xpc10nz==6'd50/*US*/) || (xpc10nz==6'd51/*US*/) || (xpc10nz==6'd52/*US*/) || (xpc10nz==6'd53 /*US*/) || (xpc10nz==6'd54/*US*/) || (xpc10nz==6'd55/*US*/) || (xpc10nz==6'd56/*US*/) || (xpc10nz==6'd57/*US*/) || (xpc10nz==6'd58/*US*/) || (xpc10nz==6'd59/*US*/) || (xpc10nz==6'd60/*US*/) || (xpc10nz==6'd61/*US*/) || (xpc10nz==6'd62/*US*/) || (xpc10nz==6'd63/*US*/) || (xpc10nz ==7'd64/*US*/) || (xpc10nz==7'd65/*US*/) || (xpc10nz==7'd66/*US*/) || (xpc10nz==7'd67/*US*/) || (xpc10nz==7'd68/*US*/) || (xpc10nz==7'd69 /*US*/) || (xpc10nz==7'd70/*US*/) || (xpc10nz==7'd71/*US*/) || (xpc10nz==7'd72/*US*/) || (xpc10nz==7'd73/*US*/) || (xpc10nz==7'd74/*US*/) || (xpc10nz==7'd75/*US*/) || (xpc10nz==7'd76/*US*/) || (xpc10nz==7'd77/*US*/) || (xpc10nz==7'd78/*US*/) || (xpc10nz==7'd79/*US*/) || (xpc10nz ==7'd80/*US*/) || (xpc10nz==7'd81/*US*/) || (xpc10nz==7'd82/*US*/) || (xpc10nz==7'd83/*US*/) || (xpc10nz==7'd84/*US*/) || (xpc10nz==7'd85 /*US*/) || (xpc10nz==7'd86/*US*/) || (xpc10nz==7'd88/*US*/) || (xpc10nz==7'd89/*US*/) || (xpc10nz==7'd90/*US*/) || (xpc10nz==7'd91/*US*/) || (xpc10nz==7'd92/*US*/) || (xpc10nz==7'd94/*US*/) || (xpc10nz==7'd95/*US*/) || (xpc10nz==7'd96/*US*/) || (xpc10nz==7'd97/*US*/) || (xpc10nz ==7'd98/*US*/) || (xpc10nz==7'd100/*US*/) || (xpc10nz==7'd101/*US*/) || (xpc10nz==7'd102/*US*/) || (xpc10nz==7'd103/*US*/) || (xpc10nz ==7'd104/*US*/) || (xpc10nz==7'd106/*US*/) || (xpc10nz==7'd107/*US*/) || (xpc10nz==7'd108/*US*/) || (xpc10nz==7'd109/*US*/) || (xpc10nz ==7'd110/*US*/) || (xpc10nz==7'd111/*US*/) || (xpc10nz==7'd112/*US*/) || (xpc10nz==7'd114/*US*/) || (xpc10nz==7'd115/*US*/) || (xpc10nz ==7'd116/*US*/) || (xpc10nz==7'd117/*US*/) || (xpc10nz==7'd118/*US*/) || (xpc10nz==7'd120/*US*/) || (xpc10nz==7'd121/*US*/) || (xpc10nz ==7'd122/*US*/) || (xpc10nz==7'd123/*US*/) || (xpc10nz==7'd124/*US*/) || (xpc10nz==7'd126/*US*/) || (xpc10nz==7'd127/*US*/) || (xpc10nz ==8'd128/*US*/) || (xpc10nz==8'd129/*US*/) || (xpc10nz==8'd130/*US*/) || (xpc10nz==8'd132/*US*/) || (xpc10nz==8'd133/*US*/) || (xpc10nz ==8'd134/*US*/) || (xpc10nz==8'd135/*US*/) || (xpc10nz==8'd136/*US*/) || (xpc10nz==8'd137/*US*/) || (xpc10nz==8'd138/*US*/) || (xpc10nz ==8'd140/*US*/) || (xpc10nz==8'd141/*US*/) || (xpc10nz==8'd142/*US*/) || (xpc10nz==8'd143/*US*/) || (xpc10nz==8'd144/*US*/) || (xpc10nz ==8'd146/*US*/) || (xpc10nz==8'd147/*US*/) || (xpc10nz==8'd148/*US*/) || (xpc10nz==8'd149/*US*/) || (xpc10nz==8'd150/*US*/) || (xpc10nz ==8'd152/*US*/) || (xpc10nz==8'd153/*US*/) || (xpc10nz==8'd154/*US*/) || (xpc10nz==8'd155/*US*/) || (xpc10nz==8'd156/*US*/) || (xpc10nz ==8'd158/*US*/) || (xpc10nz==8'd159/*US*/) || (xpc10nz==8'd160/*US*/) || (xpc10nz==8'd161/*US*/) || (xpc10nz==8'd162/*US*/) || (xpc10nz ==8'd163/*US*/) || (xpc10nz==8'd164/*US*/) || (xpc10nz==8'd166/*US*/) || (xpc10nz==8'd167/*US*/) || (xpc10nz==8'd168/*US*/) || (xpc10nz ==8'd169/*US*/) || (xpc10nz==8'd170/*US*/) || (xpc10nz==8'd172/*US*/) || (xpc10nz==8'd173/*US*/) || (xpc10nz==8'd174/*US*/) || (xpc10nz ==8'd175/*US*/) || (xpc10nz==8'd176/*US*/) || (xpc10nz==8'd178/*US*/) || (xpc10nz==8'd179/*US*/) || (xpc10nz==8'd180/*US*/) || (xpc10nz ==8'd181/*US*/) || (xpc10nz==8'd182/*US*/) || (xpc10nz==8'd184/*US*/) || (xpc10nz==8'd185/*US*/) || (xpc10nz==8'd186/*US*/) || (xpc10nz ==8'd187/*US*/) || (xpc10nz==8'd188/*US*/) || (xpc10nz==8'd189/*US*/) || (xpc10nz==8'd190/*US*/) || (xpc10nz==8'd191/*US*/) || (xpc10nz ==8'd192/*US*/) || (xpc10nz==8'd193/*US*/) || (xpc10nz==8'd194/*US*/) || (xpc10nz==8'd195/*US*/) || (xpc10nz==8'd196/*US*/) || (xpc10nz ==8'd197/*US*/) || (xpc10nz==8'd198/*US*/) || (xpc10nz==8'd199/*US*/) || (xpc10nz==8'd200/*US*/) || (xpc10nz==8'd201/*US*/) || (xpc10nz ==8'd202/*US*/) || (xpc10nz==8'd203/*US*/) || (xpc10nz==8'd204/*US*/) || (xpc10nz==8'd205/*US*/) || (xpc10nz==8'd206/*US*/) || (xpc10nz ==8'd207/*US*/) || (xpc10nz==8'd208/*US*/) || (xpc10nz==8'd209/*US*/) || (xpc10nz==8'd210/*US*/) || (xpc10nz==8'd211/*US*/) || (xpc10nz ==8'd212/*US*/) || (xpc10nz==8'd213/*US*/) || (xpc10nz==8'd214/*US*/) || (xpc10nz==8'd215/*US*/) || (xpc10nz==8'd216/*US*/) || (xpc10nz ==8'd217/*US*/) || (xpc10nz==8'd218/*US*/) || (xpc10nz==8'd219/*US*/) || (xpc10nz==8'd220/*US*/) || (xpc10nz==8'd221/*US*/) || (xpc10nz ==8'd222/*US*/) || (xpc10nz==8'd223/*US*/) || (xpc10nz==8'd224/*US*/) || (xpc10nz==8'd225/*US*/) || (xpc10nz==8'd226/*US*/) || (xpc10nz ==8'd227/*US*/) || (xpc10nz==8'd228/*US*/) || (xpc10nz==8'd229/*US*/) || (xpc10nz==8'd230/*US*/) || (xpc10nz==8'd231/*US*/) || (xpc10nz ==8'd232/*US*/) || (xpc10nz==8'd233/*US*/) || (xpc10nz==8'd234/*US*/) || (xpc10nz==8'd235/*US*/) || (xpc10nz==8'd236/*US*/) || (xpc10nz ==8'd237/*US*/) || (xpc10nz==8'd238/*US*/) || (xpc10nz==8'd239/*US*/) || (xpc10nz==8'd240/*US*/) || (xpc10nz==8'd241/*US*/) || (xpc10nz ==8'd242/*US*/) || (xpc10nz==8'd243/*US*/) || (xpc10nz==8'd244/*US*/) || (xpc10nz==8'd245/*US*/) || (xpc10nz==8'd246/*US*/) || (xpc10nz ==8'd247/*US*/) || (xpc10nz==8'd248/*US*/) || (xpc10nz==8'd249/*US*/) || (xpc10nz==8'd250/*US*/) || (xpc10nz==8'd251/*US*/) || (xpc10nz ==8'd252/*US*/) || (xpc10nz==8'd253/*US*/) || (xpc10nz==8'd254/*US*/) || (xpc10nz==8'd255/*US*/) || (xpc10nz==9'd256/*US*/) || (xpc10nz ==9'd257/*US*/) || (xpc10nz==9'd258/*US*/) || (xpc10nz==9'd259/*US*/) || (xpc10nz==9'd260/*US*/) || (xpc10nz==9'd261/*US*/) || (xpc10nz ==9'd262/*US*/) || (xpc10nz==9'd263/*US*/) || (xpc10nz==9'd264/*US*/) || (xpc10nz==9'd265/*US*/) || (xpc10nz==9'd266/*US*/) || (xpc10nz ==9'd267/*US*/) || (xpc10nz==9'd268/*US*/) || (xpc10nz==9'd269/*US*/) || (xpc10nz==9'd270/*US*/) || (xpc10nz==9'd271/*US*/) || (xpc10nz ==9'd272/*US*/) || (xpc10nz==9'd273/*US*/) || (xpc10nz==9'd274/*US*/) || (xpc10nz==9'd275/*US*/) || (xpc10nz==9'd276/*US*/) || (xpc10nz ==9'd277/*US*/) || (xpc10nz==9'd278/*US*/) || (xpc10nz==9'd279/*US*/) || (xpc10nz==9'd280/*US*/) || (xpc10nz==9'd281/*US*/) || (xpc10nz ==9'd282/*US*/) || (xpc10nz==9'd283/*US*/) || (xpc10nz==9'd284/*US*/) || (xpc10nz==9'd285/*US*/) || (xpc10nz==9'd286/*US*/) || (xpc10nz ==9'd287/*US*/) || (xpc10nz==9'd288/*US*/) || (xpc10nz==9'd289/*US*/) || (xpc10nz==9'd290/*US*/) || (xpc10nz==9'd291/*US*/) || (xpc10nz ==9'd292/*US*/) || (xpc10nz==9'd293/*US*/) || (xpc10nz==9'd294/*US*/) || (xpc10nz==9'd295/*US*/) || (xpc10nz==9'd296/*US*/) || (xpc10nz ==9'd297/*US*/) || (xpc10nz==9'd298/*US*/) || (xpc10nz==9'd299/*US*/) || (xpc10nz==9'd300/*US*/) || (xpc10nz==9'd301/*US*/) || (xpc10nz ==9'd302/*US*/) || (xpc10nz==9'd303/*US*/) || (xpc10nz==9'd305/*US*/) || (xpc10nz==9'd307/*US*/) || (xpc10nz==9'd308/*US*/) || (xpc10nz ==9'd309/*US*/) || (xpc10nz==9'd310/*US*/) || (xpc10nz==9'd311/*US*/) || (xpc10nz==9'd312/*US*/) || (xpc10nz==9'd313/*US*/) || (xpc10nz ==9'd314/*US*/) || (xpc10nz==9'd315/*US*/) || (xpc10nz==9'd316/*US*/) || (xpc10nz==9'd317/*US*/) || (xpc10nz==9'd318/*US*/) || (xpc10nz ==9'd319/*US*/) || (xpc10nz==9'd320/*US*/) || (xpc10nz==9'd321/*US*/) || (xpc10nz==9'd322/*US*/) || (xpc10nz==9'd324/*US*/) || (xpc10nz ==9'd326/*US*/) || (xpc10nz==9'd327/*US*/) || (xpc10nz==9'd328/*US*/) || (xpc10nz==9'd329/*US*/) || (xpc10nz==9'd330/*US*/) || (xpc10nz ==9'd331/*US*/) || (xpc10nz==9'd332/*US*/) || (xpc10nz==9'd333/*US*/) || (xpc10nz==9'd334/*US*/) || (xpc10nz==9'd335/*US*/) || (xpc10nz ==9'd336/*US*/) || (xpc10nz==9'd337/*US*/) || (xpc10nz==9'd338/*US*/) || (xpc10nz==9'd339/*US*/) || (xpc10nz==9'd340/*US*/) || (xpc10nz ==9'd341/*US*/) || (xpc10nz==9'd342/*US*/) || (xpc10nz==9'd343/*US*/) || (xpc10nz==9'd344/*US*/) || (xpc10nz==9'd345/*US*/) || (xpc10nz ==9'd346/*US*/) || (xpc10nz==9'd347/*US*/) || (xpc10nz==9'd348/*US*/) || (xpc10nz==9'd349/*US*/) || (xpc10nz==9'd350/*US*/) || (xpc10nz ==9'd351/*US*/) || (xpc10nz==9'd352/*US*/) || (xpc10nz==9'd353/*US*/) || (xpc10nz==9'd354/*US*/) || (xpc10nz==9'd355/*US*/) || (xpc10nz ==9'd356/*US*/) || (xpc10nz==9'd357/*US*/) || (xpc10nz==9'd358/*US*/) || (xpc10nz==9'd359/*US*/) || (xpc10nz==9'd360/*US*/) || (xpc10nz ==9'd361/*US*/) || (xpc10nz==9'd362/*US*/) || (xpc10nz==9'd363/*US*/) || (xpc10nz==9'd364/*US*/) || (xpc10nz==9'd365/*US*/) || (xpc10nz ==9'd366/*US*/) || (xpc10nz==9'd367/*US*/) || (xpc10nz==9'd368/*US*/) || (xpc10nz==9'd369/*US*/) || (xpc10nz==9'd370/*US*/) || (xpc10nz ==9'd371/*US*/) || (xpc10nz==9'd372/*US*/) || (xpc10nz==9'd373/*US*/) || (xpc10nz==9'd374/*US*/) || (xpc10nz==9'd375/*US*/) || (xpc10nz ==9'd376/*US*/) || (xpc10nz==9'd377/*US*/) || (xpc10nz==9'd378/*US*/) || (xpc10nz==9'd379/*US*/) || (xpc10nz==9'd380/*US*/) || (xpc10nz ==9'd381/*US*/) || (xpc10nz==9'd383/*US*/) || (xpc10nz==9'd384/*US*/) || (xpc10nz==9'd385/*US*/) || (xpc10nz==9'd386/*US*/) || (xpc10nz ==9'd387/*US*/) || (xpc10nz==9'd388/*US*/) || (xpc10nz==9'd389/*US*/) || (xpc10nz==9'd390/*US*/) || (xpc10nz==9'd391/*US*/) || (xpc10nz ==9'd392/*US*/) || (xpc10nz==9'd393/*US*/) || (xpc10nz==9'd394/*US*/) || (xpc10nz==9'd395/*US*/) || (xpc10nz==9'd396/*US*/) || (xpc10nz ==9'd397/*US*/) || (xpc10nz==9'd398/*US*/) || (xpc10nz==9'd399/*US*/) || (xpc10nz==9'd400/*US*/) || (xpc10nz==9'd401/*US*/) || (xpc10nz ==9'd402/*US*/) || (xpc10nz==9'd403/*US*/) || (xpc10nz==9'd404/*US*/) || (xpc10nz==9'd405/*US*/) || (xpc10nz==9'd406/*US*/) || (xpc10nz ==9'd408/*US*/) || (xpc10nz==9'd410/*US*/) || (xpc10nz==9'd411/*US*/) || (xpc10nz==9'd412/*US*/) || (xpc10nz==9'd414/*US*/) || (xpc10nz ==9'd415/*US*/) || (xpc10nz==9'd416/*US*/) || (xpc10nz==9'd417/*US*/) || (xpc10nz==9'd418/*US*/) || (xpc10nz==9'd420/*US*/) || (xpc10nz ==9'd421/*US*/) || (xpc10nz==9'd422/*US*/) || (xpc10nz==9'd423/*US*/) || (xpc10nz==9'd424/*US*/) || (xpc10nz==9'd426/*US*/) || (xpc10nz ==9'd427/*US*/) || (xpc10nz==9'd428/*US*/) || (xpc10nz==9'd429/*US*/) || (xpc10nz==9'd430/*US*/) || (xpc10nz==9'd432/*US*/) || (xpc10nz ==9'd433/*US*/) || (xpc10nz==9'd434/*US*/) || (xpc10nz==9'd435/*US*/) || (xpc10nz==9'd436/*US*/) || (xpc10nz==9'd438/*US*/) || (xpc10nz ==9'd439/*US*/) || (xpc10nz==9'd440/*US*/) || (xpc10nz==9'd441/*US*/) || (xpc10nz==9'd442/*US*/) || (xpc10nz==9'd444/*US*/) || (xpc10nz ==9'd445/*US*/) || (xpc10nz==9'd446/*US*/) || (xpc10nz==9'd447/*US*/) || (xpc10nz==9'd448/*US*/) || (xpc10nz==9'd450/*US*/) || (xpc10nz ==9'd451/*US*/) || (xpc10nz==9'd452/*US*/) || (xpc10nz==9'd453/*US*/) || (xpc10nz==9'd454/*US*/) || (xpc10nz==9'd456/*US*/) || (xpc10nz ==9'd457/*US*/) || (xpc10nz==9'd458/*US*/) || (xpc10nz==9'd459/*US*/) || (xpc10nz==9'd460/*US*/) || (xpc10nz==9'd461/*US*/) || (xpc10nz ==9'd462/*US*/) || (xpc10nz==9'd463/*US*/) || (xpc10nz==9'd464/*US*/) || (xpc10nz==9'd465/*US*/) || (xpc10nz==9'd466/*US*/) || (xpc10nz ==9'd467/*US*/) || (xpc10nz==9'd468/*US*/) || (xpc10nz==9'd469/*US*/) || (xpc10nz==9'd470/*US*/) || (xpc10nz==9'd471/*US*/) || (xpc10nz ==9'd472/*US*/) || (xpc10nz==9'd473/*US*/) || (xpc10nz==9'd475/*US*/) || (xpc10nz==9'd476/*US*/) || (xpc10nz==9'd477/*US*/) || (xpc10nz ==9'd478/*US*/) || (xpc10nz==9'd479/*US*/) || (xpc10nz==9'd481/*US*/) || (xpc10nz==9'd482/*US*/) || (xpc10nz==9'd483/*US*/) || (xpc10nz ==9'd484/*US*/) || (xpc10nz==9'd485/*US*/) || (xpc10nz==9'd487/*US*/) || (xpc10nz==9'd488/*US*/) || (xpc10nz==9'd489/*US*/) || (xpc10nz ==9'd490/*US*/) || (xpc10nz==9'd491/*US*/) || (xpc10nz==9'd493/*US*/) || (xpc10nz==9'd494/*US*/) || (xpc10nz==9'd495/*US*/) || (xpc10nz ==9'd496/*US*/) || (xpc10nz==9'd497/*US*/) || (xpc10nz==9'd499/*US*/) || (xpc10nz==9'd500/*US*/) || (xpc10nz==9'd501/*US*/) || (xpc10nz ==9'd502/*US*/) || (xpc10nz==9'd503/*US*/) || (xpc10nz==9'd505/*US*/) || (xpc10nz==9'd506/*US*/) || (xpc10nz==9'd507/*US*/) || (xpc10nz ==9'd508/*US*/) || (xpc10nz==9'd509/*US*/) || (xpc10nz==9'd511/*US*/) || (xpc10nz==10'd512/*US*/) || (xpc10nz==10'd513/*US*/) || (xpc10nz ==10'd514/*US*/) || (xpc10nz==10'd515/*US*/) || (xpc10nz==10'd517/*US*/) || (xpc10nz==10'd518/*US*/) || (xpc10nz==10'd519/*US*/) || (xpc10nz ==10'd520/*US*/) || (xpc10nz==10'd521/*US*/) || (xpc10nz==10'd522/*US*/) || (xpc10nz==10'd523/*US*/) || (xpc10nz==10'd524/*US*/) || (xpc10nz ==10'd525/*US*/) || (xpc10nz==10'd526/*US*/) || (xpc10nz==10'd527/*US*/) || (xpc10nz==10'd528/*US*/) || (xpc10nz==10'd529/*US*/) || (xpc10nz ==10'd530/*US*/) || (xpc10nz==10'd531/*US*/) || (xpc10nz==10'd532/*US*/) || (xpc10nz==10'd533/*US*/) || (xpc10nz==10'd534/*US*/) || (xpc10nz ==10'd536/*US*/) || (xpc10nz==10'd538/*US*/) || (xpc10nz==10'd539/*US*/) || (xpc10nz==10'd540/*US*/) || (xpc10nz==10'd541/*US*/) || (xpc10nz ==10'd542/*US*/) || (xpc10nz==10'd543/*US*/) || (xpc10nz==10'd545/*US*/) || (xpc10nz==10'd546/*US*/) || (xpc10nz==10'd547/*US*/) || (xpc10nz ==10'd548/*US*/) || (xpc10nz==10'd549/*US*/) || (xpc10nz==10'd551/*US*/) || (xpc10nz==10'd552/*US*/) || (xpc10nz==10'd553/*US*/) || (xpc10nz ==10'd554/*US*/) || (xpc10nz==10'd555/*US*/) || (xpc10nz==10'd557/*US*/) || (xpc10nz==10'd558/*US*/) || (xpc10nz==10'd559/*US*/) || (xpc10nz ==10'd560/*US*/) || (xpc10nz==10'd561/*US*/) || (xpc10nz==10'd563/*US*/) || (xpc10nz==10'd564/*US*/) || (xpc10nz==10'd565/*US*/) || (xpc10nz ==10'd566/*US*/) || (xpc10nz==10'd567/*US*/) || (xpc10nz==10'd568/*US*/) || (xpc10nz==10'd569/*US*/) || (xpc10nz==10'd570/*US*/) || (xpc10nz ==10'd571/*US*/) || (xpc10nz==10'd572/*US*/) || (xpc10nz==10'd573/*US*/) || (xpc10nz==10'd574/*US*/) || (xpc10nz==10'd575/*US*/) || (xpc10nz ==10'd576/*US*/) || (xpc10nz==10'd577/*US*/) || (xpc10nz==10'd578/*US*/) || (xpc10nz==10'd579/*US*/) || (xpc10nz==10'd580/*US*/) || (xpc10nz ==10'd581/*US*/) || (xpc10nz==10'd582/*US*/) || (xpc10nz==10'd584/*US*/) || (xpc10nz==10'd585/*US*/) || (xpc10nz==10'd586/*US*/) || (xpc10nz ==10'd587/*US*/) || (xpc10nz==10'd588/*US*/) || (xpc10nz==10'd590/*US*/) || (xpc10nz==10'd591/*US*/) || (xpc10nz==10'd592/*US*/) || (xpc10nz ==10'd593/*US*/) || (xpc10nz==10'd594/*US*/) || (xpc10nz==10'd596/*US*/) || (xpc10nz==10'd597/*US*/) || (xpc10nz==10'd598/*US*/) || (xpc10nz ==10'd599/*US*/) || (xpc10nz==10'd600/*US*/) || (xpc10nz==10'd602/*US*/) || (xpc10nz==10'd603/*US*/) || (xpc10nz==10'd605/*US*/) || (xpc10nz ==10'd604/*US*/); always @(*) xpc10_stall = ((xpc10nz==9'd323/*US*/) || (xpc10nz==10'd535/*US*/)) && !isMODULUS10_rdy && !isMODULUS10RRh10vld; // 1 vectors of width 10 // 34 vectors of width 1 // 28 vectors of width 32 // 10 vectors of width 64 // 1 vectors of width 15 // 8 vectors of width 13 // 8 array locations of width 32 // 928 bits in scalar variables // Total state bits in module = 2883 bits. // 354 continuously assigned (wire/non-state) bits // cell CV_SP_SSRAM_FL1 count=9 // cell CV_INT_VL_MODULUS_S count=1 // Total number of leaf cells = 10 endmodule // // LCP delay estimations included: turn off with -vnl-lcp-delay-estimate=disable //HPR L/S (orangepath) auxiliary reports. //KiwiC compilation report //Kiwi Scientific Acceleration (KiwiC .net/CIL/C# to Verilog/SystemC compiler): Version alpha 2.15e(interim) : 15th-June-2016 //16/06/2016 18:30:12 //Cmd line args: /home/djg11/d320/hprls/kiwipro/kiwic/distro/lib/kiwic.exe -give-backtrace -vnl=cuckoo_hash_demo.v cuckoo_hash_demo.exe -vnl-resets=synchronous -kiwic-cil-dump=combined -kiwic-kcode-dump=enable -res2-loadstore-port-count=0 -vnl-roundtrip=disable -bevelab-default-pause-mode=maximal -bevelab-soft-pause-threshold=15 -vnl-rootmodname=DUT //---------------------------------------------------------- //Report from KiwiC-fe.rpt::: //KiwiC: front end input processing of class or method called KiwiSystem/Kiwi // //root_walk start thread at a static method (used as an entry point). Method name=.cctor uid=cctor10 // //KiwiC start_thread (or entry point) id=cctor10 // //Root method elaborated: specificf=S_kickoff_collate leftover=1+0 // //KiwiC: front end input processing of class or method called System/BitConverter // //root_walk start thread at a static method (used as an entry point). Method name=.cctor uid=cctor12 // //KiwiC start_thread (or entry point) id=cctor12 // //Root method elaborated: specificf=S_kickoff_collate leftover=1+1 // //KiwiC: front end input processing of class or method called Testbench // //root_compiler: start elaborating class 'Testbench' // //elaborating class 'Testbench' // //compiling static method as entry point: style=Root idl=Testbench/Main // //Performing root elaboration of method Main // //KiwiC start_thread (or entry point) id=Main10 // //root_compiler class done: Testbench // //Report of all settings used from the recipe or command line: // // cil-uwind-budget=10000 // // kiwic-finish=enable // // kiwic-cil-dump=combined // // kiwic-kcode-dump=enable // // array-4d-name=KIWIARRAY4D // // array-3d-name=KIWIARRAY3D // // array-2d-name=KIWIARRAY2D // // kiwi-dll=Kiwi.dll // // kiwic-dll=Kiwic.dll // // kiwic-zerolength-arrays=disable // // kiwic-fpgaconsole-default=enable // // postgen-optimise=enable // // gtrace-loglevel=20 // // intcil-loglevel=20 // // firstpass-loglevel=20 // // root=$attributeroot // // srcfile=cuckoo_hash_demo.exe // //END OF KIWIC REPORT FILE // //---------------------------------------------------------- //Report from enumbers::: //Concise expression alias report. // //---------------------------------------------------------- //Report from restructure2::: //Offchip Load/Store (and other) Ports = Nothing to Report // //---------------------------------------------------------- //Report from restructure2::: //Restructure Technology Settings //*---------------------------+---------+---------------------------------------------------------------------------------* //| Key | Value | Description | //*---------------------------+---------+---------------------------------------------------------------------------------* //| int_flr_mul | 16000 | | //| fp_fl_dp_div | 5 | | //| fp_fl_dp_add | 4 | | //| fp_fl_dp_mul | 3 | | //| fp_fl_sp_div | 5 | | //| fp_fl_sp_add | 4 | | //| fp_fl_sp_mul | 3 | | //| res2-loadstore-port-count | 0 | | //| max_no_fp_muls | 6 | Maximum number of adders and subtractors (or combos) to instantiate per thread. | //| max_no_fp_muls | 6 | Maximum number of f/p dividers to instantiate per thread. | //| max_no_int_muls | 3 | Maximum number of int multipliers to instantiate per thread. | //| max_no_fp_divs | 2 | Maximum number of f/p dividers to instantiate per thread. | //| max_no_int_divs | 2 | Maximum number of int dividers to instantiate per thread. | //| res2-offchip-threshold | 1000000 | | //| res2-combrom-threshold | 64 | | //| res2-combram-threshold | 32 | | //| res2-regfile-threshold | 8 | | //*---------------------------+---------+---------------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: //PC codings points for xpc10 //*---------------------+------+-------------+------+------+-------+-----+-------------+------* //| gb-flag/Pause | eno | hwm | root | exec | start | end | antecedants | next | //*---------------------+------+-------------+------+------+-------+-----+-------------+------* //| X0:"xpc10:start0" | 900 | hwm=0.0.0 | 0 | 0 | - | - | --- | 1 | //| X1:"xpc10:1" | 901 | hwm=0.0.0 | 1 | 1 | - | - | --- | 2 | //| X2:"xpc10:2" | 902 | hwm=0.0.0 | 2 | 2 | - | - | --- | 3 | //| X3:"xpc10:3" | 903 | hwm=0.0.0 | 3 | 3 | - | - | --- | 4 | //| X4:"xpc10:4" | 904 | hwm=0.0.0 | 4 | 4 | - | - | --- | 5 | //| X5:"xpc10:5" | 905 | hwm=0.0.0 | 5 | 5 | - | - | --- | 6 | //| X6:"xpc10:6" | 906 | hwm=0.0.0 | 6 | 6 | - | - | --- | 7 | //| X7:"xpc10:7" | 907 | hwm=0.0.0 | 7 | 7 | - | - | --- | 8 | //| X8:"xpc10:8" | 908 | hwm=0.0.0 | 8 | 8 | - | - | --- | 9 | //| X9:"xpc10:9" | 909 | hwm=0.0.0 | 9 | 9 | - | - | --- | 10 | //| X10:"xpc10:10" | 910 | hwm=0.0.0 | 10 | 10 | - | - | --- | 11 | //| X11:"xpc10:11" | 911 | hwm=0.0.0 | 11 | 11 | - | - | --- | 12 | //| X12:"xpc10:12" | 912 | hwm=0.0.0 | 12 | 12 | - | - | --- | 13 | //| X13:"xpc10:13" | 913 | hwm=0.0.0 | 13 | 13 | - | - | --- | 14 | //| X14:"xpc10:14" | 914 | hwm=0.0.0 | 14 | 14 | - | - | --- | 15 | //| X15:"xpc10:15" | 915 | hwm=0.0.0 | 15 | 15 | - | - | --- | 16 | //| X16:"xpc10:16" | 916 | hwm=0.0.0 | 16 | 16 | - | - | --- | 17 | //| X17:"xpc10:17" | 917 | hwm=0.0.0 | 17 | 17 | - | - | --- | 18 | //| X18:"xpc10:18" | 918 | hwm=0.0.0 | 18 | 18 | - | - | --- | 19 | //| X19:"xpc10:19" | 919 | hwm=0.0.0 | 19 | 19 | - | - | --- | 20 | //| X20:"xpc10:20" | 920 | hwm=0.0.0 | 20 | 20 | - | - | --- | 21 | //| X21:"xpc10:21" | 921 | hwm=0.0.0 | 21 | 21 | - | - | --- | 22 | //| X22:"xpc10:22" | 922 | hwm=0.0.0 | 22 | 22 | - | - | --- | 23 | //| X23:"xpc10:23" | 923 | hwm=0.0.0 | 23 | 23 | - | - | --- | 24 | //| X24:"xpc10:24" | 924 | hwm=0.0.0 | 24 | 24 | - | - | --- | 25 | //| X25:"xpc10:25" | 925 | hwm=0.0.0 | 25 | 25 | - | - | --- | 26 | //| X26:"xpc10:26" | 926 | hwm=0.0.0 | 26 | 26 | - | - | --- | 27 | //| X27:"xpc10:27" | 927 | hwm=0.0.0 | 27 | 27 | - | - | --- | 28 | //| X28:"xpc10:28" | 928 | hwm=0.0.0 | 28 | 28 | - | - | --- | 29 | //| X29:"xpc10:29" | 929 | hwm=0.0.0 | 29 | 29 | - | - | --- | 30 | //| X30:"xpc10:30" | 930 | hwm=0.0.0 | 30 | 30 | - | - | --- | 31 | //| X31:"xpc10:31" | 931 | hwm=0.0.0 | 31 | 31 | - | - | --- | 32 | //| X32:"xpc10:32" | 932 | hwm=0.0.0 | 32 | 32 | - | - | --- | 33 | //| X33:"xpc10:33" | 933 | hwm=0.0.0 | 33 | 33 | - | - | --- | 34 | //| X34:"xpc10:34" | 934 | hwm=0.0.0 | 34 | 34 | - | - | --- | 35 | //| X35:"xpc10:35" | 935 | hwm=0.0.0 | 35 | 35 | - | - | --- | 36 | //| X36:"xpc10:36" | 936 | hwm=0.0.0 | 36 | 36 | - | - | --- | 37 | //| X37:"xpc10:37" | 937 | hwm=0.0.0 | 37 | 37 | - | - | --- | 38 | //| X38:"xpc10:38" | 938 | hwm=0.0.0 | 38 | 38 | - | - | --- | 39 | //| X39:"xpc10:39" | 939 | hwm=0.0.0 | 39 | 39 | - | - | --- | 40 | //| X40:"xpc10:40" | 940 | hwm=0.0.0 | 40 | 40 | - | - | --- | 41 | //| X41:"xpc10:41" | 941 | hwm=0.0.0 | 41 | 41 | - | - | --- | 42 | //| X42:"xpc10:42" | 942 | hwm=0.0.0 | 42 | 42 | - | - | --- | 43 | //| X43:"xpc10:43" | 943 | hwm=0.0.0 | 43 | 43 | - | - | --- | 44 | //| X44:"xpc10:44" | 944 | hwm=0.0.0 | 44 | 44 | - | - | --- | 45 | //| X45:"xpc10:45" | 945 | hwm=0.0.0 | 45 | 45 | - | - | --- | 46 | //| X46:"xpc10:46" | 946 | hwm=0.0.0 | 46 | 46 | - | - | --- | 47 | //| X47:"xpc10:47" | 947 | hwm=0.0.0 | 47 | 47 | - | - | --- | 48 | //| X48:"xpc10:48" | 948 | hwm=0.0.0 | 48 | 48 | - | - | --- | 49 | //| X49:"xpc10:49" | 949 | hwm=0.0.0 | 49 | 49 | - | - | --- | 50 | //| X50:"xpc10:50" | 950 | hwm=0.0.0 | 50 | 50 | - | - | --- | 51 | //| X51:"xpc10:51" | 951 | hwm=0.0.0 | 51 | 51 | - | - | --- | 52 | //| X52:"xpc10:52" | 952 | hwm=0.0.0 | 52 | 52 | - | - | --- | 53 | //| X53:"xpc10:53" | 953 | hwm=0.0.0 | 53 | 53 | - | - | --- | 54 | //| X54:"xpc10:54" | 954 | hwm=0.0.0 | 54 | 54 | - | - | --- | 55 | //| X55:"xpc10:55" | 955 | hwm=0.0.0 | 55 | 55 | - | - | --- | 56 | //| X56:"xpc10:56" | 956 | hwm=0.0.0 | 56 | 56 | - | - | --- | 57 | //| X57:"xpc10:57" | 957 | hwm=0.0.0 | 57 | 57 | - | - | --- | 58 | //| X58:"xpc10:58" | 958 | hwm=0.0.0 | 58 | 58 | - | - | --- | 59 | //| X59:"xpc10:59" | 959 | hwm=0.0.0 | 59 | 59 | - | - | --- | 60 | //| X60:"xpc10:60" | 960 | hwm=0.0.0 | 60 | 60 | - | - | --- | 61 | //| X61:"xpc10:61" | 961 | hwm=0.0.0 | 61 | 61 | - | - | --- | 62 | //| X62:"xpc10:62" | 962 | hwm=0.0.0 | 62 | 62 | - | - | --- | 63 | //| X63:"xpc10:63" | 963 | hwm=0.0.0 | 63 | 63 | - | - | --- | 64 | //| X64:"xpc10:64" | 964 | hwm=0.0.0 | 64 | 64 | - | - | --- | 65 | //| X65:"xpc10:65" | 965 | hwm=0.0.0 | 65 | 65 | - | - | --- | 66 | //| X66:"xpc10:66" | 966 | hwm=0.0.0 | 66 | 66 | - | - | --- | 67 | //| X67:"xpc10:67" | 967 | hwm=0.0.0 | 67 | 67 | - | - | --- | 68 | //| X68:"xpc10:68" | 968 | hwm=0.0.0 | 68 | 68 | - | - | --- | 69 | //| X69:"xpc10:69" | 969 | hwm=0.0.0 | 69 | 69 | - | - | --- | 70 | //| X70:"xpc10:70" | 970 | hwm=0.0.0 | 70 | 70 | - | - | --- | 71 | //| X71:"xpc10:71" | 971 | hwm=0.0.0 | 71 | 71 | - | - | --- | 72 | //| X72:"xpc10:72" | 972 | hwm=0.0.0 | 72 | 72 | - | - | --- | 73 | //| X73:"xpc10:73" | 973 | hwm=0.0.0 | 73 | 73 | - | - | --- | 74 | //| X74:"xpc10:74" | 974 | hwm=0.0.0 | 74 | 74 | - | - | --- | 75 | //| X75:"xpc10:75" | 975 | hwm=0.0.0 | 75 | 75 | - | - | --- | 76 | //| X76:"xpc10:76" | 976 | hwm=0.0.0 | 76 | 76 | - | - | --- | 77 | //| X77:"xpc10:77" | 977 | hwm=0.0.0 | 77 | 77 | - | - | --- | 78 | //| X78:"xpc10:78" | 978 | hwm=0.0.0 | 78 | 78 | - | - | --- | 79 | //| X79:"xpc10:79" | 979 | hwm=0.0.0 | 79 | 79 | - | - | --- | 80 | //| X80:"xpc10:80" | 980 | hwm=0.0.0 | 80 | 80 | - | - | --- | 81 | //| X81:"xpc10:81" | 981 | hwm=0.0.0 | 81 | 81 | - | - | --- | 82 | //| X82:"xpc10:82" | 982 | hwm=0.0.0 | 82 | 82 | - | - | --- | 83 | //| X83:"xpc10:83" | 983 | hwm=0.0.0 | 83 | 83 | - | - | --- | 84 | //| X84:"xpc10:84" | 985 | hwm=0.0.0 | 84 | 84 | - | - | --- | 89 | //| X84:"xpc10:84" | 984 | hwm=0.0.0 | 84 | 84 | - | - | --- | 85 | //| X85:"xpc10:85" | 987 | hwm=0.0.0 | 85 | 85 | - | - | --- | 88 | //| X85:"xpc10:85" | 986 | hwm=0.0.0 | 85 | 85 | - | - | --- | 86 | //| X86:"xpc10:86" | 988 | hwm=0.0.1 | 86 | 86 | 87 | 87 | --- | 88 | //| X87:"xpc10:87" | 989 | hwm=0.0.0 | 88 | 88 | - | - | --- | 89 | //| X88:"xpc10:88" | 990 | hwm=0.0.0 | 89 | 89 | - | - | --- | 90 | //| X89:"xpc10:89" | 992 | hwm=0.0.0 | 90 | 90 | - | - | --- | 95 | //| X89:"xpc10:89" | 991 | hwm=0.0.0 | 90 | 90 | - | - | --- | 91 | //| X90:"xpc10:90" | 994 | hwm=0.0.0 | 91 | 91 | - | - | --- | 94 | //| X90:"xpc10:90" | 993 | hwm=0.0.0 | 91 | 91 | - | - | --- | 92 | //| X91:"xpc10:91" | 995 | hwm=0.0.1 | 92 | 92 | 93 | 93 | --- | 94 | //| X92:"xpc10:92" | 996 | hwm=0.0.0 | 94 | 94 | - | - | --- | 95 | //| X93:"xpc10:93" | 997 | hwm=0.0.0 | 95 | 95 | - | - | --- | 96 | //| X94:"xpc10:94" | 999 | hwm=0.0.0 | 96 | 96 | - | - | --- | 101 | //| X94:"xpc10:94" | 998 | hwm=0.0.0 | 96 | 96 | - | - | --- | 97 | //| X95:"xpc10:95" | 1001 | hwm=0.0.0 | 97 | 97 | - | - | --- | 100 | //| X95:"xpc10:95" | 1000 | hwm=0.0.0 | 97 | 97 | - | - | --- | 98 | //| X96:"xpc10:96" | 1002 | hwm=0.0.1 | 98 | 98 | 99 | 99 | --- | 100 | //| X97:"xpc10:97" | 1003 | hwm=0.0.0 | 100 | 100 | - | - | --- | 101 | //| X98:"xpc10:98" | 1004 | hwm=0.0.0 | 101 | 101 | - | - | --- | 102 | //| X99:"xpc10:99" | 1006 | hwm=0.0.0 | 102 | 102 | - | - | --- | 107 | //| X99:"xpc10:99" | 1005 | hwm=0.0.0 | 102 | 102 | - | - | --- | 103 | //| X100:"xpc10:100" | 1008 | hwm=0.0.0 | 103 | 103 | - | - | --- | 106 | //| X100:"xpc10:100" | 1007 | hwm=0.0.0 | 103 | 103 | - | - | --- | 104 | //| X101:"xpc10:101" | 1009 | hwm=0.0.1 | 104 | 104 | 105 | 105 | --- | 106 | //| X102:"xpc10:102" | 1010 | hwm=0.0.0 | 106 | 106 | - | - | --- | 107 | //| X103:"xpc10:103" | 1011 | hwm=0.0.0 | 107 | 107 | - | - | --- | 108 | //| X104:"xpc10:104" | 1012 | hwm=0.0.0 | 108 | 108 | - | - | --- | 109 | //| X105:"xpc10:105" | 1013 | hwm=0.0.0 | 109 | 109 | - | - | --- | 110 | //| X106:"xpc10:106" | 1015 | hwm=0.0.0 | 110 | 110 | - | - | --- | 115 | //| X106:"xpc10:106" | 1014 | hwm=0.0.0 | 110 | 110 | - | - | --- | 111 | //| X107:"xpc10:107" | 1017 | hwm=0.0.0 | 111 | 111 | - | - | --- | 114 | //| X107:"xpc10:107" | 1016 | hwm=0.0.0 | 111 | 111 | - | - | --- | 112 | //| X108:"xpc10:108" | 1018 | hwm=0.0.1 | 112 | 112 | 113 | 113 | --- | 114 | //| X109:"xpc10:109" | 1019 | hwm=0.0.0 | 114 | 114 | - | - | --- | 115 | //| X110:"xpc10:110" | 1020 | hwm=0.0.0 | 115 | 115 | - | - | --- | 116 | //| X111:"xpc10:111" | 1022 | hwm=0.0.0 | 116 | 116 | - | - | --- | 121 | //| X111:"xpc10:111" | 1021 | hwm=0.0.0 | 116 | 116 | - | - | --- | 117 | //| X112:"xpc10:112" | 1024 | hwm=0.0.0 | 117 | 117 | - | - | --- | 120 | //| X112:"xpc10:112" | 1023 | hwm=0.0.0 | 117 | 117 | - | - | --- | 118 | //| X113:"xpc10:113" | 1025 | hwm=0.0.1 | 118 | 118 | 119 | 119 | --- | 120 | //| X114:"xpc10:114" | 1026 | hwm=0.0.0 | 120 | 120 | - | - | --- | 121 | //| X115:"xpc10:115" | 1027 | hwm=0.0.0 | 121 | 121 | - | - | --- | 122 | //| X116:"xpc10:116" | 1029 | hwm=0.0.0 | 122 | 122 | - | - | --- | 127 | //| X116:"xpc10:116" | 1028 | hwm=0.0.0 | 122 | 122 | - | - | --- | 123 | //| X117:"xpc10:117" | 1031 | hwm=0.0.0 | 123 | 123 | - | - | --- | 126 | //| X117:"xpc10:117" | 1030 | hwm=0.0.0 | 123 | 123 | - | - | --- | 124 | //| X118:"xpc10:118" | 1032 | hwm=0.0.1 | 124 | 124 | 125 | 125 | --- | 126 | //| X119:"xpc10:119" | 1033 | hwm=0.0.0 | 126 | 126 | - | - | --- | 127 | //| X120:"xpc10:120" | 1034 | hwm=0.0.0 | 127 | 127 | - | - | --- | 128 | //| X121:"xpc10:121" | 1036 | hwm=0.0.0 | 128 | 128 | - | - | --- | 133 | //| X121:"xpc10:121" | 1035 | hwm=0.0.0 | 128 | 128 | - | - | --- | 129 | //| X122:"xpc10:122" | 1038 | hwm=0.0.0 | 129 | 129 | - | - | --- | 132 | //| X122:"xpc10:122" | 1037 | hwm=0.0.0 | 129 | 129 | - | - | --- | 130 | //| X123:"xpc10:123" | 1039 | hwm=0.0.1 | 130 | 130 | 131 | 131 | --- | 132 | //| X124:"xpc10:124" | 1040 | hwm=0.0.0 | 132 | 132 | - | - | --- | 133 | //| X125:"xpc10:125" | 1041 | hwm=0.0.0 | 133 | 133 | - | - | --- | 134 | //| X126:"xpc10:126" | 1042 | hwm=0.0.0 | 134 | 134 | - | - | --- | 135 | //| X127:"xpc10:127" | 1043 | hwm=0.0.0 | 135 | 135 | - | - | --- | 136 | //| X128:"xpc10:128" | 1045 | hwm=0.0.0 | 136 | 136 | - | - | --- | 141 | //| X128:"xpc10:128" | 1044 | hwm=0.0.0 | 136 | 136 | - | - | --- | 137 | //| X129:"xpc10:129" | 1047 | hwm=0.0.0 | 137 | 137 | - | - | --- | 140 | //| X129:"xpc10:129" | 1046 | hwm=0.0.0 | 137 | 137 | - | - | --- | 138 | //| X130:"xpc10:130" | 1048 | hwm=0.0.1 | 138 | 138 | 139 | 139 | --- | 140 | //| X131:"xpc10:131" | 1049 | hwm=0.0.0 | 140 | 140 | - | - | --- | 141 | //| X132:"xpc10:132" | 1050 | hwm=0.0.0 | 141 | 141 | - | - | --- | 142 | //| X133:"xpc10:133" | 1052 | hwm=0.0.0 | 142 | 142 | - | - | --- | 147 | //| X133:"xpc10:133" | 1051 | hwm=0.0.0 | 142 | 142 | - | - | --- | 143 | //| X134:"xpc10:134" | 1054 | hwm=0.0.0 | 143 | 143 | - | - | --- | 146 | //| X134:"xpc10:134" | 1053 | hwm=0.0.0 | 143 | 143 | - | - | --- | 144 | //| X135:"xpc10:135" | 1055 | hwm=0.0.1 | 144 | 144 | 145 | 145 | --- | 146 | //| X136:"xpc10:136" | 1056 | hwm=0.0.0 | 146 | 146 | - | - | --- | 147 | //| X137:"xpc10:137" | 1057 | hwm=0.0.0 | 147 | 147 | - | - | --- | 148 | //| X138:"xpc10:138" | 1059 | hwm=0.0.0 | 148 | 148 | - | - | --- | 153 | //| X138:"xpc10:138" | 1058 | hwm=0.0.0 | 148 | 148 | - | - | --- | 149 | //| X139:"xpc10:139" | 1061 | hwm=0.0.0 | 149 | 149 | - | - | --- | 152 | //| X139:"xpc10:139" | 1060 | hwm=0.0.0 | 149 | 149 | - | - | --- | 150 | //| X140:"xpc10:140" | 1062 | hwm=0.0.1 | 150 | 150 | 151 | 151 | --- | 152 | //| X141:"xpc10:141" | 1063 | hwm=0.0.0 | 152 | 152 | - | - | --- | 153 | //| X142:"xpc10:142" | 1064 | hwm=0.0.0 | 153 | 153 | - | - | --- | 154 | //| X143:"xpc10:143" | 1066 | hwm=0.0.0 | 154 | 154 | - | - | --- | 159 | //| X143:"xpc10:143" | 1065 | hwm=0.0.0 | 154 | 154 | - | - | --- | 155 | //| X144:"xpc10:144" | 1068 | hwm=0.0.0 | 155 | 155 | - | - | --- | 158 | //| X144:"xpc10:144" | 1067 | hwm=0.0.0 | 155 | 155 | - | - | --- | 156 | //| X145:"xpc10:145" | 1069 | hwm=0.0.1 | 156 | 156 | 157 | 157 | --- | 158 | //| X146:"xpc10:146" | 1070 | hwm=0.0.0 | 158 | 158 | - | - | --- | 159 | //| X147:"xpc10:147" | 1071 | hwm=0.0.0 | 159 | 159 | - | - | --- | 160 | //| X148:"xpc10:148" | 1072 | hwm=0.0.0 | 160 | 160 | - | - | --- | 161 | //| X149:"xpc10:149" | 1073 | hwm=0.0.0 | 161 | 161 | - | - | --- | 162 | //| X150:"xpc10:150" | 1075 | hwm=0.0.0 | 162 | 162 | - | - | --- | 167 | //| X150:"xpc10:150" | 1074 | hwm=0.0.0 | 162 | 162 | - | - | --- | 163 | //| X151:"xpc10:151" | 1077 | hwm=0.0.0 | 163 | 163 | - | - | --- | 166 | //| X151:"xpc10:151" | 1076 | hwm=0.0.0 | 163 | 163 | - | - | --- | 164 | //| X152:"xpc10:152" | 1078 | hwm=0.0.1 | 164 | 164 | 165 | 165 | --- | 166 | //| X153:"xpc10:153" | 1079 | hwm=0.0.0 | 166 | 166 | - | - | --- | 167 | //| X154:"xpc10:154" | 1080 | hwm=0.0.0 | 167 | 167 | - | - | --- | 168 | //| X155:"xpc10:155" | 1082 | hwm=0.0.0 | 168 | 168 | - | - | --- | 173 | //| X155:"xpc10:155" | 1081 | hwm=0.0.0 | 168 | 168 | - | - | --- | 169 | //| X156:"xpc10:156" | 1084 | hwm=0.0.0 | 169 | 169 | - | - | --- | 172 | //| X156:"xpc10:156" | 1083 | hwm=0.0.0 | 169 | 169 | - | - | --- | 170 | //| X157:"xpc10:157" | 1085 | hwm=0.0.1 | 170 | 170 | 171 | 171 | --- | 172 | //| X158:"xpc10:158" | 1086 | hwm=0.0.0 | 172 | 172 | - | - | --- | 173 | //| X159:"xpc10:159" | 1087 | hwm=0.0.0 | 173 | 173 | - | - | --- | 174 | //| X160:"xpc10:160" | 1089 | hwm=0.0.0 | 174 | 174 | - | - | --- | 179 | //| X160:"xpc10:160" | 1088 | hwm=0.0.0 | 174 | 174 | - | - | --- | 175 | //| X161:"xpc10:161" | 1091 | hwm=0.0.0 | 175 | 175 | - | - | --- | 178 | //| X161:"xpc10:161" | 1090 | hwm=0.0.0 | 175 | 175 | - | - | --- | 176 | //| X162:"xpc10:162" | 1092 | hwm=0.0.1 | 176 | 176 | 177 | 177 | --- | 178 | //| X163:"xpc10:163" | 1093 | hwm=0.0.0 | 178 | 178 | - | - | --- | 179 | //| X164:"xpc10:164" | 1094 | hwm=0.0.0 | 179 | 179 | - | - | --- | 180 | //| X165:"xpc10:165" | 1096 | hwm=0.0.0 | 180 | 180 | - | - | --- | 185 | //| X165:"xpc10:165" | 1095 | hwm=0.0.0 | 180 | 180 | - | - | --- | 181 | //| X166:"xpc10:166" | 1098 | hwm=0.0.0 | 181 | 181 | - | - | --- | 184 | //| X166:"xpc10:166" | 1097 | hwm=0.0.0 | 181 | 181 | - | - | --- | 182 | //| X167:"xpc10:167" | 1099 | hwm=0.0.1 | 182 | 182 | 183 | 183 | --- | 184 | //| X168:"xpc10:168" | 1100 | hwm=0.0.0 | 184 | 184 | - | - | --- | 185 | //| X169:"xpc10:169" | 1101 | hwm=0.0.0 | 185 | 185 | - | - | --- | 186 | //| X170:"xpc10:170" | 1102 | hwm=0.0.0 | 186 | 186 | - | - | --- | 187 | //| X171:"xpc10:171" | 1103 | hwm=0.0.0 | 187 | 187 | - | - | --- | 188 | //| X172:"xpc10:172" | 1104 | hwm=0.0.0 | 188 | 188 | - | - | --- | 189 | //| X173:"xpc10:173" | 1105 | hwm=0.0.0 | 189 | 189 | - | - | --- | 190 | //| X174:"xpc10:174" | 1106 | hwm=0.0.0 | 190 | 190 | - | - | --- | 191 | //| X175:"xpc10:175" | 1107 | hwm=0.0.0 | 191 | 191 | - | - | --- | 192 | //| X176:"xpc10:176" | 1108 | hwm=0.0.0 | 192 | 192 | - | - | --- | 193 | //| X177:"xpc10:177" | 1109 | hwm=0.0.0 | 193 | 193 | - | - | --- | 194 | //| X178:"xpc10:178" | 1110 | hwm=0.0.0 | 194 | 194 | - | - | --- | 195 | //| X179:"xpc10:179" | 1112 | hwm=0.0.0 | 195 | 195 | - | - | --- | 570 | //| X179:"xpc10:179" | 1111 | hwm=0.0.0 | 195 | 195 | - | - | --- | 196 | //| X180:"xpc10:180" | 1113 | hwm=0.0.0 | 196 | 196 | - | - | --- | 197 | //| X181:"xpc10:181" | 1114 | hwm=0.0.0 | 197 | 197 | - | - | --- | 198 | //| X182:"xpc10:182" | 1115 | hwm=0.0.0 | 198 | 198 | - | - | --- | 199 | //| X183:"xpc10:183" | 1116 | hwm=0.0.0 | 199 | 199 | - | - | --- | 200 | //| X184:"xpc10:184" | 1117 | hwm=0.0.0 | 200 | 200 | - | - | --- | 201 | //| X185:"xpc10:185" | 1118 | hwm=0.0.0 | 201 | 201 | - | - | --- | 202 | //| X186:"xpc10:186" | 1119 | hwm=0.0.0 | 202 | 202 | - | - | --- | 203 | //| X187:"xpc10:187" | 1120 | hwm=0.0.0 | 203 | 203 | - | - | --- | 204 | //| X188:"xpc10:188" | 1121 | hwm=0.0.0 | 204 | 204 | - | - | --- | 205 | //| X189:"xpc10:189" | 1122 | hwm=0.0.0 | 205 | 205 | - | - | --- | 206 | //| X190:"xpc10:190" | 1123 | hwm=0.0.0 | 206 | 206 | - | - | --- | 207 | //| X191:"xpc10:191" | 1124 | hwm=0.0.0 | 207 | 207 | - | - | --- | 208 | //| X192:"xpc10:192" | 1125 | hwm=0.0.0 | 208 | 208 | - | - | --- | 209 | //| X193:"xpc10:193" | 1126 | hwm=0.0.0 | 209 | 209 | - | - | --- | 210 | //| X194:"xpc10:194" | 1127 | hwm=0.0.0 | 210 | 210 | - | - | --- | 211 | //| X195:"xpc10:195" | 1128 | hwm=0.0.0 | 211 | 211 | - | - | --- | 212 | //| X196:"xpc10:196" | 1129 | hwm=0.0.0 | 212 | 212 | - | - | --- | 213 | //| X197:"xpc10:197" | 1130 | hwm=0.0.0 | 213 | 213 | - | - | --- | 214 | //| X198:"xpc10:198" | 1131 | hwm=0.0.0 | 214 | 214 | - | - | --- | 215 | //| X199:"xpc10:199" | 1133 | hwm=0.0.0 | 215 | 215 | - | - | --- | 334 | //| X199:"xpc10:199" | 1132 | hwm=0.0.0 | 215 | 215 | - | - | --- | 216 | //| X200:"xpc10:200" | 1134 | hwm=0.0.0 | 216 | 216 | - | - | --- | 217 | //| X201:"xpc10:201" | 1135 | hwm=0.0.0 | 217 | 217 | - | - | --- | 218 | //| X202:"xpc10:202" | 1136 | hwm=0.0.0 | 218 | 218 | - | - | --- | 219 | //| X203:"xpc10:203" | 1137 | hwm=0.0.0 | 219 | 219 | - | - | --- | 220 | //| X204:"xpc10:204" | 1138 | hwm=0.0.0 | 220 | 220 | - | - | --- | 221 | //| X205:"xpc10:205" | 1139 | hwm=0.0.0 | 221 | 221 | - | - | --- | 222 | //| X206:"xpc10:206" | 1140 | hwm=0.0.0 | 222 | 222 | - | - | --- | 223 | //| X207:"xpc10:207" | 1141 | hwm=0.0.0 | 223 | 223 | - | - | --- | 224 | //| X208:"xpc10:208" | 1142 | hwm=0.0.0 | 224 | 224 | - | - | --- | 225 | //| X209:"xpc10:209" | 1143 | hwm=0.0.0 | 225 | 225 | - | - | --- | 226 | //| X210:"xpc10:210" | 1144 | hwm=0.0.0 | 226 | 226 | - | - | --- | 227 | //| X211:"xpc10:211" | 1145 | hwm=0.0.0 | 227 | 227 | - | - | --- | 228 | //| X212:"xpc10:212" | 1146 | hwm=0.0.0 | 228 | 228 | - | - | --- | 229 | //| X213:"xpc10:213" | 1147 | hwm=0.0.0 | 229 | 229 | - | - | --- | 230 | //| X214:"xpc10:214" | 1148 | hwm=0.0.0 | 230 | 230 | - | - | --- | 231 | //| X215:"xpc10:215" | 1150 | hwm=0.0.0 | 231 | 231 | - | - | --- | 248 | //| X215:"xpc10:215" | 1149 | hwm=0.0.0 | 231 | 231 | - | - | --- | 232 | //| X216:"xpc10:216" | 1151 | hwm=0.0.0 | 232 | 232 | - | - | --- | 233 | //| X217:"xpc10:217" | 1152 | hwm=0.0.0 | 233 | 233 | - | - | --- | 234 | //| X218:"xpc10:218" | 1153 | hwm=0.0.0 | 234 | 234 | - | - | --- | 235 | //| X219:"xpc10:219" | 1154 | hwm=0.0.0 | 235 | 235 | - | - | --- | 236 | //| X220:"xpc10:220" | 1155 | hwm=0.0.0 | 236 | 236 | - | - | --- | 237 | //| X221:"xpc10:221" | 1156 | hwm=0.0.0 | 237 | 237 | - | - | --- | 238 | //| X222:"xpc10:222" | 1157 | hwm=0.0.0 | 238 | 238 | - | - | --- | 239 | //| X223:"xpc10:223" | 1158 | hwm=0.0.0 | 239 | 239 | - | - | --- | 240 | //| X224:"xpc10:224" | 1159 | hwm=0.0.0 | 240 | 240 | - | - | --- | 241 | //| X225:"xpc10:225" | 1160 | hwm=0.0.0 | 241 | 241 | - | - | --- | 242 | //| X226:"xpc10:226" | 1161 | hwm=0.0.0 | 242 | 242 | - | - | --- | 243 | //| X227:"xpc10:227" | 1162 | hwm=0.0.0 | 243 | 243 | - | - | --- | 244 | //| X228:"xpc10:228" | 1163 | hwm=0.0.0 | 244 | 244 | - | - | --- | 245 | //| X229:"xpc10:229" | 1164 | hwm=0.0.0 | 245 | 245 | - | - | --- | 246 | //| X230:"xpc10:230" | 1165 | hwm=0.0.0 | 246 | 246 | - | - | --- | 247 | //| X231:"xpc10:231" | 1166 | hwm=0.0.0 | 247 | 247 | - | - | --- | 247 | //| X232:"xpc10:232" | 1167 | hwm=0.0.0 | 248 | 248 | - | - | --- | 249 | //| X233:"xpc10:233" | 1168 | hwm=0.0.0 | 249 | 249 | - | - | --- | 250 | //| X234:"xpc10:234" | 1169 | hwm=0.0.0 | 250 | 250 | - | - | --- | 251 | //| X235:"xpc10:235" | 1170 | hwm=0.0.0 | 251 | 251 | - | - | --- | 252 | //| X236:"xpc10:236" | 1171 | hwm=0.0.0 | 252 | 252 | - | - | --- | 253 | //| X237:"xpc10:237" | 1172 | hwm=0.0.0 | 253 | 253 | - | - | --- | 254 | //| X238:"xpc10:238" | 1173 | hwm=0.0.0 | 254 | 254 | - | - | --- | 255 | //| X239:"xpc10:239" | 1174 | hwm=0.0.0 | 255 | 255 | - | - | --- | 256 | //| X240:"xpc10:240" | 1175 | hwm=0.0.0 | 256 | 256 | - | - | --- | 257 | //| X241:"xpc10:241" | 1176 | hwm=0.0.0 | 257 | 257 | - | - | --- | 258 | //| X242:"xpc10:242" | 1177 | hwm=0.0.0 | 258 | 258 | - | - | --- | 259 | //| X243:"xpc10:243" | 1178 | hwm=0.0.0 | 259 | 259 | - | - | --- | 260 | //| X244:"xpc10:244" | 1180 | hwm=0.0.0 | 260 | 260 | - | - | --- | 284 | //| X244:"xpc10:244" | 1179 | hwm=0.0.0 | 260 | 260 | - | - | --- | 261 | //| X245:"xpc10:245" | 1181 | hwm=0.0.0 | 261 | 261 | - | - | --- | 262 | //| X246:"xpc10:246" | 1182 | hwm=0.0.0 | 262 | 262 | - | - | --- | 263 | //| X247:"xpc10:247" | 1183 | hwm=0.0.0 | 263 | 263 | - | - | --- | 264 | //| X248:"xpc10:248" | 1184 | hwm=0.0.0 | 264 | 264 | - | - | --- | 265 | //| X249:"xpc10:249" | 1185 | hwm=0.0.0 | 265 | 265 | - | - | --- | 266 | //| X250:"xpc10:250" | 1186 | hwm=0.0.0 | 266 | 266 | - | - | --- | 267 | //| X251:"xpc10:251" | 1187 | hwm=0.0.0 | 267 | 267 | - | - | --- | 268 | //| X252:"xpc10:252" | 1188 | hwm=0.0.0 | 268 | 268 | - | - | --- | 269 | //| X253:"xpc10:253" | 1190 | hwm=0.0.0 | 269 | 269 | - | - | --- | 279 | //| X253:"xpc10:253" | 1189 | hwm=0.0.0 | 269 | 269 | - | - | --- | 270 | //| X254:"xpc10:254" | 1191 | hwm=0.0.0 | 270 | 270 | - | - | --- | 271 | //| X255:"xpc10:255" | 1192 | hwm=0.0.0 | 271 | 271 | - | - | --- | 272 | //| X256:"xpc10:256" | 1193 | hwm=0.0.0 | 272 | 272 | - | - | --- | 273 | //| X257:"xpc10:257" | 1195 | hwm=0.0.0 | 273 | 273 | - | - | --- | 279 | //| X257:"xpc10:257" | 1194 | hwm=0.0.0 | 273 | 273 | - | - | --- | 274 | //| X258:"xpc10:258" | 1196 | hwm=0.0.0 | 274 | 274 | - | - | --- | 275 | //| X259:"xpc10:259" | 1197 | hwm=0.0.0 | 275 | 275 | - | - | --- | 276 | //| X260:"xpc10:260" | 1198 | hwm=0.0.0 | 276 | 276 | - | - | --- | 277 | //| X261:"xpc10:261" | 1199 | hwm=0.0.0 | 277 | 277 | - | - | --- | 278 | //| X262:"xpc10:262" | 1200 | hwm=0.0.0 | 278 | 278 | - | - | --- | 279 | //| X263:"xpc10:263" | 1201 | hwm=0.0.0 | 279 | 279 | - | - | --- | 280 | //| X264:"xpc10:264" | 1202 | hwm=0.0.0 | 280 | 280 | - | - | --- | 281 | //| X265:"xpc10:265" | 1203 | hwm=0.0.0 | 281 | 281 | - | - | --- | 282 | //| X266:"xpc10:266" | 1204 | hwm=0.0.0 | 282 | 282 | - | - | --- | 283 | //| X267:"xpc10:267" | 1205 | hwm=0.0.0 | 283 | 283 | - | - | --- | 229 | //| X268:"xpc10:268" | 1206 | hwm=0.0.0 | 284 | 284 | - | - | --- | 285 | //| X269:"xpc10:269" | 1207 | hwm=0.0.0 | 285 | 285 | - | - | --- | 286 | //| X270:"xpc10:270" | 1208 | hwm=0.0.0 | 286 | 286 | - | - | --- | 287 | //| X271:"xpc10:271" | 1209 | hwm=0.0.0 | 287 | 287 | - | - | --- | 288 | //| X272:"xpc10:272" | 1210 | hwm=0.0.0 | 288 | 288 | - | - | --- | 289 | //| X273:"xpc10:273" | 1211 | hwm=0.0.0 | 289 | 289 | - | - | --- | 290 | //| X274:"xpc10:274" | 1212 | hwm=0.0.0 | 290 | 290 | - | - | --- | 291 | //| X275:"xpc10:275" | 1214 | hwm=0.0.0 | 291 | 291 | - | - | --- | 309 | //| X275:"xpc10:275" | 1213 | hwm=0.0.0 | 291 | 291 | - | - | --- | 292 | //| X276:"xpc10:276" | 1215 | hwm=0.0.0 | 292 | 292 | - | - | --- | 293 | //| X277:"xpc10:277" | 1216 | hwm=0.0.0 | 293 | 293 | - | - | --- | 294 | //| X278:"xpc10:278" | 1217 | hwm=0.0.0 | 294 | 294 | - | - | --- | 295 | //| X279:"xpc10:279" | 1219 | hwm=0.0.0 | 295 | 295 | - | - | --- | 301 | //| X279:"xpc10:279" | 1218 | hwm=0.0.0 | 295 | 295 | - | - | --- | 296 | //| X280:"xpc10:280" | 1220 | hwm=0.0.0 | 296 | 296 | - | - | --- | 297 | //| X281:"xpc10:281" | 1221 | hwm=0.0.0 | 297 | 297 | - | - | --- | 298 | //| X282:"xpc10:282" | 1222 | hwm=0.0.0 | 298 | 298 | - | - | --- | 299 | //| X283:"xpc10:283" | 1223 | hwm=0.0.0 | 299 | 299 | - | - | --- | 300 | //| X284:"xpc10:284" | 1224 | hwm=0.0.0 | 300 | 300 | - | - | --- | 266 | //| X285:"xpc10:285" | 1225 | hwm=0.0.0 | 301 | 301 | - | - | --- | 302 | //| X286:"xpc10:286" | 1226 | hwm=0.0.0 | 302 | 302 | - | - | --- | 303 | //| X287:"xpc10:287" | 1227 | hwm=0.1.0 | 303 | 304 | 304 | 304 | --- | 305 | //| X288:"xpc10:288" | 1228 | hwm=0.1.0 | 305 | 306 | 306 | 306 | --- | 307 | //| X289:"xpc10:289" | 1229 | hwm=0.0.0 | 307 | 307 | - | - | --- | 308 | //| X290:"xpc10:290" | 1230 | hwm=0.0.0 | 308 | 308 | - | - | --- | 266 | //| X291:"xpc10:291" | 1231 | hwm=0.0.0 | 309 | 309 | - | - | --- | 310 | //| X292:"xpc10:292" | 1232 | hwm=0.0.0 | 310 | 310 | - | - | --- | 311 | //| X293:"xpc10:293" | 1233 | hwm=0.0.0 | 311 | 311 | - | - | --- | 312 | //| X294:"xpc10:294" | 1234 | hwm=0.0.0 | 312 | 312 | - | - | --- | 313 | //| X295:"xpc10:295" | 1235 | hwm=0.0.0 | 313 | 313 | - | - | --- | 314 | //| X296:"xpc10:296" | 1237 | hwm=0.0.0 | 314 | 314 | - | - | --- | 320 | //| X296:"xpc10:296" | 1236 | hwm=0.0.0 | 314 | 314 | - | - | --- | 315 | //| X297:"xpc10:297" | 1238 | hwm=0.0.0 | 315 | 315 | - | - | --- | 316 | //| X298:"xpc10:298" | 1239 | hwm=0.0.0 | 316 | 316 | - | - | --- | 317 | //| X299:"xpc10:299" | 1240 | hwm=0.0.0 | 317 | 317 | - | - | --- | 318 | //| X300:"xpc10:300" | 1241 | hwm=0.0.0 | 318 | 318 | - | - | --- | 319 | //| X301:"xpc10:301" | 1242 | hwm=0.0.0 | 319 | 319 | - | - | --- | 320 | //| X302:"xpc10:302" | 1243 | hwm=0.0.0 | 320 | 320 | - | - | --- | 321 | //| X303:"xpc10:303" | 1244 | hwm=0.0.0 | 321 | 321 | - | - | --- | 322 | //| X304:"xpc10:304" | 1245 | hwm=0.1.0 | 322 | 323 | 323 | 323 | --- | 324 | //| X305:"xpc10:305" | 1247 | hwm=1.1.0 | 324 | 325 | - | - | --- | 330 | //| X305:"xpc10:305" | 1246 | hwm=1.1.0 | 324 | 325 | - | - | --- | 326 | //| X306:"xpc10:306" | 1248 | hwm=0.0.0 | 326 | 326 | - | - | --- | 327 | //| X307:"xpc10:307" | 1249 | hwm=0.0.0 | 327 | 327 | - | - | --- | 328 | //| X308:"xpc10:308" | 1250 | hwm=0.0.0 | 328 | 328 | - | - | --- | 329 | //| X309:"xpc10:309" | 1251 | hwm=0.0.0 | 329 | 329 | - | - | --- | 293 | //| X310:"xpc10:310" | 1252 | hwm=0.0.0 | 330 | 330 | - | - | --- | 331 | //| X311:"xpc10:311" | 1253 | hwm=0.0.0 | 331 | 331 | - | - | --- | 332 | //| X312:"xpc10:312" | 1254 | hwm=0.0.0 | 332 | 332 | - | - | --- | 333 | //| X313:"xpc10:313" | 1255 | hwm=0.0.0 | 333 | 333 | - | - | --- | 289 | //| X314:"xpc10:314" | 1256 | hwm=0.0.0 | 334 | 334 | - | - | --- | 335 | //| X315:"xpc10:315" | 1257 | hwm=0.0.0 | 335 | 335 | - | - | --- | 336 | //| X316:"xpc10:316" | 1258 | hwm=0.0.0 | 336 | 336 | - | - | --- | 337 | //| X317:"xpc10:317" | 1259 | hwm=0.0.0 | 337 | 337 | - | - | --- | 338 | //| X318:"xpc10:318" | 1260 | hwm=0.0.0 | 338 | 338 | - | - | --- | 339 | //| X319:"xpc10:319" | 1261 | hwm=0.0.0 | 339 | 339 | - | - | --- | 340 | //| X320:"xpc10:320" | 1262 | hwm=0.0.0 | 340 | 340 | - | - | --- | 341 | //| X321:"xpc10:321" | 1263 | hwm=0.0.0 | 341 | 341 | - | - | --- | 342 | //| X322:"xpc10:322" | 1264 | hwm=0.0.0 | 342 | 342 | - | - | --- | 343 | //| X323:"xpc10:323" | 1265 | hwm=0.0.0 | 343 | 343 | - | - | --- | 344 | //| X324:"xpc10:324" | 1266 | hwm=0.0.0 | 344 | 344 | - | - | --- | 345 | //| X325:"xpc10:325" | 1267 | hwm=0.0.0 | 345 | 345 | - | - | --- | 346 | //| X326:"xpc10:326" | 1269 | hwm=0.0.0 | 346 | 346 | - | - | --- | 366 | //| X326:"xpc10:326" | 1268 | hwm=0.0.0 | 346 | 346 | - | - | --- | 347 | //| X327:"xpc10:327" | 1270 | hwm=0.0.0 | 347 | 347 | - | - | --- | 348 | //| X328:"xpc10:328" | 1271 | hwm=0.0.0 | 348 | 348 | - | - | --- | 349 | //| X329:"xpc10:329" | 1272 | hwm=0.0.0 | 349 | 349 | - | - | --- | 350 | //| X330:"xpc10:330" | 1273 | hwm=0.0.0 | 350 | 350 | - | - | --- | 351 | //| X331:"xpc10:331" | 1274 | hwm=0.0.0 | 351 | 351 | - | - | --- | 352 | //| X332:"xpc10:332" | 1275 | hwm=0.0.0 | 352 | 352 | - | - | --- | 353 | //| X333:"xpc10:333" | 1276 | hwm=0.0.0 | 353 | 353 | - | - | --- | 354 | //| X334:"xpc10:334" | 1277 | hwm=0.0.0 | 354 | 354 | - | - | --- | 355 | //| X335:"xpc10:335" | 1279 | hwm=0.0.0 | 355 | 355 | - | - | --- | 361 | //| X335:"xpc10:335" | 1278 | hwm=0.0.0 | 355 | 355 | - | - | --- | 356 | //| X336:"xpc10:336" | 1280 | hwm=0.0.0 | 356 | 356 | - | - | --- | 357 | //| X337:"xpc10:337" | 1281 | hwm=0.0.0 | 357 | 357 | - | - | --- | 358 | //| X338:"xpc10:338" | 1282 | hwm=0.0.0 | 358 | 358 | - | - | --- | 359 | //| X339:"xpc10:339" | 1283 | hwm=0.0.0 | 359 | 359 | - | - | --- | 360 | //| X340:"xpc10:340" | 1284 | hwm=0.0.0 | 360 | 360 | - | - | --- | 361 | //| X341:"xpc10:341" | 1285 | hwm=0.0.0 | 361 | 361 | - | - | --- | 362 | //| X342:"xpc10:342" | 1286 | hwm=0.0.0 | 362 | 362 | - | - | --- | 363 | //| X343:"xpc10:343" | 1287 | hwm=0.0.0 | 363 | 363 | - | - | --- | 364 | //| X344:"xpc10:344" | 1288 | hwm=0.0.0 | 364 | 364 | - | - | --- | 365 | //| X345:"xpc10:345" | 1289 | hwm=0.0.0 | 365 | 365 | - | - | --- | 213 | //| X346:"xpc10:346" | 1290 | hwm=0.0.0 | 366 | 366 | - | - | --- | 367 | //| X347:"xpc10:347" | 1291 | hwm=0.0.0 | 367 | 367 | - | - | --- | 368 | //| X348:"xpc10:348" | 1293 | hwm=0.0.0 | 368 | 368 | - | - | --- | 374 | //| X348:"xpc10:348" | 1292 | hwm=0.0.0 | 368 | 368 | - | - | --- | 369 | //| X349:"xpc10:349" | 1294 | hwm=0.0.0 | 369 | 369 | - | - | --- | 370 | //| X350:"xpc10:350" | 1295 | hwm=0.0.0 | 370 | 370 | - | - | --- | 371 | //| X351:"xpc10:351" | 1296 | hwm=0.0.0 | 371 | 371 | - | - | --- | 372 | //| X352:"xpc10:352" | 1297 | hwm=0.0.0 | 372 | 372 | - | - | --- | 373 | //| X353:"xpc10:353" | 1298 | hwm=0.0.0 | 373 | 373 | - | - | --- | 352 | //| X354:"xpc10:354" | 1299 | hwm=0.0.0 | 374 | 374 | - | - | --- | 375 | //| X355:"xpc10:355" | 1300 | hwm=0.0.0 | 375 | 375 | - | - | --- | 376 | //| X356:"xpc10:356" | 1301 | hwm=0.0.0 | 376 | 376 | - | - | --- | 377 | //| X357:"xpc10:357" | 1302 | hwm=0.0.0 | 377 | 377 | - | - | --- | 378 | //| X358:"xpc10:358" | 1303 | hwm=0.0.0 | 378 | 378 | - | - | --- | 379 | //| X359:"xpc10:359" | 1304 | hwm=0.0.0 | 379 | 379 | - | - | --- | 380 | //| X360:"xpc10:360" | 1305 | hwm=0.0.0 | 380 | 380 | - | - | --- | 381 | //| X361:"xpc10:361" | 1306 | hwm=0.0.1 | 381 | 381 | 382 | 382 | --- | 383 | //| X362:"xpc10:362" | 1307 | hwm=0.0.0 | 383 | 383 | - | - | --- | 384 | //| X363:"xpc10:363" | 1308 | hwm=0.0.0 | 384 | 384 | - | - | --- | 385 | //| X364:"xpc10:364" | 1309 | hwm=0.0.0 | 385 | 385 | - | - | --- | 386 | //| X365:"xpc10:365" | 1310 | hwm=0.0.0 | 386 | 386 | - | - | --- | 387 | //| X366:"xpc10:366" | 1311 | hwm=0.0.0 | 387 | 387 | - | - | --- | 388 | //| X367:"xpc10:367" | 1312 | hwm=0.0.0 | 388 | 388 | - | - | --- | 389 | //| X368:"xpc10:368" | 1313 | hwm=0.0.0 | 389 | 389 | - | - | --- | 390 | //| X369:"xpc10:369" | 1314 | hwm=0.0.0 | 390 | 390 | - | - | --- | 391 | //| X370:"xpc10:370" | 1315 | hwm=0.0.0 | 391 | 391 | - | - | --- | 392 | //| X371:"xpc10:371" | 1316 | hwm=0.0.0 | 392 | 392 | - | - | --- | 393 | //| X372:"xpc10:372" | 1318 | hwm=0.0.0 | 393 | 393 | - | - | --- | 521 | //| X372:"xpc10:372" | 1317 | hwm=0.0.0 | 393 | 393 | - | - | --- | 394 | //| X373:"xpc10:373" | 1319 | hwm=0.0.0 | 394 | 394 | - | - | --- | 395 | //| X374:"xpc10:374" | 1320 | hwm=0.0.0 | 395 | 395 | - | - | --- | 396 | //| X375:"xpc10:375" | 1321 | hwm=0.0.0 | 396 | 396 | - | - | --- | 397 | //| X376:"xpc10:376" | 1323 | hwm=0.0.0 | 397 | 397 | - | - | --- | 469 | //| X376:"xpc10:376" | 1322 | hwm=0.0.0 | 397 | 397 | - | - | --- | 398 | //| X377:"xpc10:377" | 1324 | hwm=0.0.0 | 398 | 398 | - | - | --- | 399 | //| X378:"xpc10:378" | 1325 | hwm=0.0.0 | 399 | 399 | - | - | --- | 400 | //| X379:"xpc10:379" | 1326 | hwm=0.0.0 | 400 | 400 | - | - | --- | 401 | //| X380:"xpc10:380" | 1327 | hwm=0.0.0 | 401 | 401 | - | - | --- | 402 | //| X381:"xpc10:381" | 1328 | hwm=0.0.0 | 402 | 402 | - | - | --- | 403 | //| X382:"xpc10:382" | 1329 | hwm=0.0.0 | 403 | 403 | - | - | --- | 404 | //| X383:"xpc10:383" | 1330 | hwm=0.0.0 | 404 | 404 | - | - | --- | 405 | //| X384:"xpc10:384" | 1331 | hwm=0.0.0 | 405 | 405 | - | - | --- | 406 | //| X385:"xpc10:385" | 1332 | hwm=0.1.0 | 406 | 407 | 407 | 407 | --- | 408 | //| X386:"xpc10:386" | 1333 | hwm=0.1.0 | 408 | 409 | 409 | 409 | --- | 410 | //| X387:"xpc10:387" | 1335 | hwm=0.0.0 | 410 | 410 | - | - | --- | 415 | //| X387:"xpc10:387" | 1334 | hwm=0.0.0 | 410 | 410 | - | - | --- | 411 | //| X388:"xpc10:388" | 1337 | hwm=0.0.0 | 411 | 411 | - | - | --- | 414 | //| X388:"xpc10:388" | 1336 | hwm=0.0.0 | 411 | 411 | - | - | --- | 412 | //| X389:"xpc10:389" | 1338 | hwm=0.0.1 | 412 | 412 | 413 | 413 | --- | 414 | //| X390:"xpc10:390" | 1339 | hwm=0.0.0 | 414 | 414 | - | - | --- | 415 | //| X391:"xpc10:391" | 1340 | hwm=0.0.0 | 415 | 415 | - | - | --- | 416 | //| X392:"xpc10:392" | 1342 | hwm=0.0.0 | 416 | 416 | - | - | --- | 421 | //| X392:"xpc10:392" | 1341 | hwm=0.0.0 | 416 | 416 | - | - | --- | 417 | //| X393:"xpc10:393" | 1344 | hwm=0.0.0 | 417 | 417 | - | - | --- | 420 | //| X393:"xpc10:393" | 1343 | hwm=0.0.0 | 417 | 417 | - | - | --- | 418 | //| X394:"xpc10:394" | 1345 | hwm=0.0.1 | 418 | 418 | 419 | 419 | --- | 420 | //| X395:"xpc10:395" | 1346 | hwm=0.0.0 | 420 | 420 | - | - | --- | 421 | //| X396:"xpc10:396" | 1347 | hwm=0.0.0 | 421 | 421 | - | - | --- | 422 | //| X397:"xpc10:397" | 1349 | hwm=0.0.0 | 422 | 422 | - | - | --- | 427 | //| X397:"xpc10:397" | 1348 | hwm=0.0.0 | 422 | 422 | - | - | --- | 423 | //| X398:"xpc10:398" | 1351 | hwm=0.0.0 | 423 | 423 | - | - | --- | 426 | //| X398:"xpc10:398" | 1350 | hwm=0.0.0 | 423 | 423 | - | - | --- | 424 | //| X399:"xpc10:399" | 1352 | hwm=0.0.1 | 424 | 424 | 425 | 425 | --- | 426 | //| X400:"xpc10:400" | 1353 | hwm=0.0.0 | 426 | 426 | - | - | --- | 427 | //| X401:"xpc10:401" | 1354 | hwm=0.0.0 | 427 | 427 | - | - | --- | 428 | //| X402:"xpc10:402" | 1356 | hwm=0.0.0 | 428 | 428 | - | - | --- | 433 | //| X402:"xpc10:402" | 1355 | hwm=0.0.0 | 428 | 428 | - | - | --- | 429 | //| X403:"xpc10:403" | 1358 | hwm=0.0.0 | 429 | 429 | - | - | --- | 432 | //| X403:"xpc10:403" | 1357 | hwm=0.0.0 | 429 | 429 | - | - | --- | 430 | //| X404:"xpc10:404" | 1359 | hwm=0.0.1 | 430 | 430 | 431 | 431 | --- | 432 | //| X405:"xpc10:405" | 1360 | hwm=0.0.0 | 432 | 432 | - | - | --- | 433 | //| X406:"xpc10:406" | 1361 | hwm=0.0.0 | 433 | 433 | - | - | --- | 434 | //| X407:"xpc10:407" | 1363 | hwm=0.0.0 | 434 | 434 | - | - | --- | 439 | //| X407:"xpc10:407" | 1362 | hwm=0.0.0 | 434 | 434 | - | - | --- | 435 | //| X408:"xpc10:408" | 1365 | hwm=0.0.0 | 435 | 435 | - | - | --- | 438 | //| X408:"xpc10:408" | 1364 | hwm=0.0.0 | 435 | 435 | - | - | --- | 436 | //| X409:"xpc10:409" | 1366 | hwm=0.0.1 | 436 | 436 | 437 | 437 | --- | 438 | //| X410:"xpc10:410" | 1367 | hwm=0.0.0 | 438 | 438 | - | - | --- | 439 | //| X411:"xpc10:411" | 1368 | hwm=0.0.0 | 439 | 439 | - | - | --- | 440 | //| X412:"xpc10:412" | 1370 | hwm=0.0.0 | 440 | 440 | - | - | --- | 445 | //| X412:"xpc10:412" | 1369 | hwm=0.0.0 | 440 | 440 | - | - | --- | 441 | //| X413:"xpc10:413" | 1372 | hwm=0.0.0 | 441 | 441 | - | - | --- | 444 | //| X413:"xpc10:413" | 1371 | hwm=0.0.0 | 441 | 441 | - | - | --- | 442 | //| X414:"xpc10:414" | 1373 | hwm=0.0.1 | 442 | 442 | 443 | 443 | --- | 444 | //| X415:"xpc10:415" | 1374 | hwm=0.0.0 | 444 | 444 | - | - | --- | 445 | //| X416:"xpc10:416" | 1375 | hwm=0.0.0 | 445 | 445 | - | - | --- | 446 | //| X417:"xpc10:417" | 1377 | hwm=0.0.0 | 446 | 446 | - | - | --- | 451 | //| X417:"xpc10:417" | 1376 | hwm=0.0.0 | 446 | 446 | - | - | --- | 447 | //| X418:"xpc10:418" | 1379 | hwm=0.0.0 | 447 | 447 | - | - | --- | 450 | //| X418:"xpc10:418" | 1378 | hwm=0.0.0 | 447 | 447 | - | - | --- | 448 | //| X419:"xpc10:419" | 1380 | hwm=0.0.1 | 448 | 448 | 449 | 449 | --- | 450 | //| X420:"xpc10:420" | 1381 | hwm=0.0.0 | 450 | 450 | - | - | --- | 451 | //| X421:"xpc10:421" | 1382 | hwm=0.0.0 | 451 | 451 | - | - | --- | 452 | //| X422:"xpc10:422" | 1384 | hwm=0.0.0 | 452 | 452 | - | - | --- | 457 | //| X422:"xpc10:422" | 1383 | hwm=0.0.0 | 452 | 452 | - | - | --- | 453 | //| X423:"xpc10:423" | 1386 | hwm=0.0.0 | 453 | 453 | - | - | --- | 456 | //| X423:"xpc10:423" | 1385 | hwm=0.0.0 | 453 | 453 | - | - | --- | 454 | //| X424:"xpc10:424" | 1387 | hwm=0.0.1 | 454 | 454 | 455 | 455 | --- | 456 | //| X425:"xpc10:425" | 1388 | hwm=0.0.0 | 456 | 456 | - | - | --- | 457 | //| X426:"xpc10:426" | 1389 | hwm=0.0.0 | 457 | 457 | - | - | --- | 458 | //| X427:"xpc10:427" | 1390 | hwm=0.0.0 | 458 | 458 | - | - | --- | 459 | //| X428:"xpc10:428" | 1391 | hwm=0.0.0 | 459 | 459 | - | - | --- | 460 | //| X429:"xpc10:429" | 1392 | hwm=0.0.0 | 460 | 460 | - | - | --- | 461 | //| X430:"xpc10:430" | 1393 | hwm=0.0.0 | 461 | 461 | - | - | --- | 462 | //| X431:"xpc10:431" | 1394 | hwm=0.0.0 | 462 | 462 | - | - | --- | 463 | //| X432:"xpc10:432" | 1395 | hwm=0.0.0 | 463 | 463 | - | - | --- | 464 | //| X433:"xpc10:433" | 1396 | hwm=0.0.0 | 464 | 464 | - | - | --- | 465 | //| X434:"xpc10:434" | 1397 | hwm=0.0.0 | 465 | 465 | - | - | --- | 466 | //| X435:"xpc10:435" | 1398 | hwm=0.0.0 | 466 | 466 | - | - | --- | 467 | //| X436:"xpc10:436" | 1399 | hwm=0.0.0 | 467 | 467 | - | - | --- | 468 | //| X437:"xpc10:437" | 1400 | hwm=0.0.0 | 468 | 468 | - | - | --- | 386 | //| X438:"xpc10:438" | 1401 | hwm=0.0.0 | 469 | 469 | - | - | --- | 470 | //| X439:"xpc10:439" | 1402 | hwm=0.0.0 | 470 | 470 | - | - | --- | 471 | //| X440:"xpc10:440" | 1404 | hwm=0.0.0 | 471 | 471 | - | - | --- | 476 | //| X440:"xpc10:440" | 1403 | hwm=0.0.0 | 471 | 471 | - | - | --- | 472 | //| X441:"xpc10:441" | 1406 | hwm=0.0.0 | 472 | 472 | - | - | --- | 475 | //| X441:"xpc10:441" | 1405 | hwm=0.0.0 | 472 | 472 | - | - | --- | 473 | //| X442:"xpc10:442" | 1407 | hwm=0.0.1 | 473 | 473 | 474 | 474 | --- | 475 | //| X443:"xpc10:443" | 1408 | hwm=0.0.0 | 475 | 475 | - | - | --- | 476 | //| X444:"xpc10:444" | 1409 | hwm=0.0.0 | 476 | 476 | - | - | --- | 477 | //| X445:"xpc10:445" | 1411 | hwm=0.0.0 | 477 | 477 | - | - | --- | 482 | //| X445:"xpc10:445" | 1410 | hwm=0.0.0 | 477 | 477 | - | - | --- | 478 | //| X446:"xpc10:446" | 1413 | hwm=0.0.0 | 478 | 478 | - | - | --- | 481 | //| X446:"xpc10:446" | 1412 | hwm=0.0.0 | 478 | 478 | - | - | --- | 479 | //| X447:"xpc10:447" | 1414 | hwm=0.0.1 | 479 | 479 | 480 | 480 | --- | 481 | //| X448:"xpc10:448" | 1415 | hwm=0.0.0 | 481 | 481 | - | - | --- | 482 | //| X449:"xpc10:449" | 1416 | hwm=0.0.0 | 482 | 482 | - | - | --- | 483 | //| X450:"xpc10:450" | 1418 | hwm=0.0.0 | 483 | 483 | - | - | --- | 488 | //| X450:"xpc10:450" | 1417 | hwm=0.0.0 | 483 | 483 | - | - | --- | 484 | //| X451:"xpc10:451" | 1420 | hwm=0.0.0 | 484 | 484 | - | - | --- | 487 | //| X451:"xpc10:451" | 1419 | hwm=0.0.0 | 484 | 484 | - | - | --- | 485 | //| X452:"xpc10:452" | 1421 | hwm=0.0.1 | 485 | 485 | 486 | 486 | --- | 487 | //| X453:"xpc10:453" | 1422 | hwm=0.0.0 | 487 | 487 | - | - | --- | 488 | //| X454:"xpc10:454" | 1423 | hwm=0.0.0 | 488 | 488 | - | - | --- | 489 | //| X455:"xpc10:455" | 1425 | hwm=0.0.0 | 489 | 489 | - | - | --- | 494 | //| X455:"xpc10:455" | 1424 | hwm=0.0.0 | 489 | 489 | - | - | --- | 490 | //| X456:"xpc10:456" | 1427 | hwm=0.0.0 | 490 | 490 | - | - | --- | 493 | //| X456:"xpc10:456" | 1426 | hwm=0.0.0 | 490 | 490 | - | - | --- | 491 | //| X457:"xpc10:457" | 1428 | hwm=0.0.1 | 491 | 491 | 492 | 492 | --- | 493 | //| X458:"xpc10:458" | 1429 | hwm=0.0.0 | 493 | 493 | - | - | --- | 494 | //| X459:"xpc10:459" | 1430 | hwm=0.0.0 | 494 | 494 | - | - | --- | 495 | //| X460:"xpc10:460" | 1432 | hwm=0.0.0 | 495 | 495 | - | - | --- | 500 | //| X460:"xpc10:460" | 1431 | hwm=0.0.0 | 495 | 495 | - | - | --- | 496 | //| X461:"xpc10:461" | 1434 | hwm=0.0.0 | 496 | 496 | - | - | --- | 499 | //| X461:"xpc10:461" | 1433 | hwm=0.0.0 | 496 | 496 | - | - | --- | 497 | //| X462:"xpc10:462" | 1435 | hwm=0.0.1 | 497 | 497 | 498 | 498 | --- | 499 | //| X463:"xpc10:463" | 1436 | hwm=0.0.0 | 499 | 499 | - | - | --- | 500 | //| X464:"xpc10:464" | 1437 | hwm=0.0.0 | 500 | 500 | - | - | --- | 501 | //| X465:"xpc10:465" | 1439 | hwm=0.0.0 | 501 | 501 | - | - | --- | 506 | //| X465:"xpc10:465" | 1438 | hwm=0.0.0 | 501 | 501 | - | - | --- | 502 | //| X466:"xpc10:466" | 1441 | hwm=0.0.0 | 502 | 502 | - | - | --- | 505 | //| X466:"xpc10:466" | 1440 | hwm=0.0.0 | 502 | 502 | - | - | --- | 503 | //| X467:"xpc10:467" | 1442 | hwm=0.0.1 | 503 | 503 | 504 | 504 | --- | 505 | //| X468:"xpc10:468" | 1443 | hwm=0.0.0 | 505 | 505 | - | - | --- | 506 | //| X469:"xpc10:469" | 1444 | hwm=0.0.0 | 506 | 506 | - | - | --- | 507 | //| X470:"xpc10:470" | 1446 | hwm=0.0.0 | 507 | 507 | - | - | --- | 512 | //| X470:"xpc10:470" | 1445 | hwm=0.0.0 | 507 | 507 | - | - | --- | 508 | //| X471:"xpc10:471" | 1448 | hwm=0.0.0 | 508 | 508 | - | - | --- | 511 | //| X471:"xpc10:471" | 1447 | hwm=0.0.0 | 508 | 508 | - | - | --- | 509 | //| X472:"xpc10:472" | 1449 | hwm=0.0.1 | 509 | 509 | 510 | 510 | --- | 511 | //| X473:"xpc10:473" | 1450 | hwm=0.0.0 | 511 | 511 | - | - | --- | 512 | //| X474:"xpc10:474" | 1451 | hwm=0.0.0 | 512 | 512 | - | - | --- | 513 | //| X475:"xpc10:475" | 1453 | hwm=0.0.0 | 513 | 513 | - | - | --- | 518 | //| X475:"xpc10:475" | 1452 | hwm=0.0.0 | 513 | 513 | - | - | --- | 514 | //| X476:"xpc10:476" | 1455 | hwm=0.0.0 | 514 | 514 | - | - | --- | 517 | //| X476:"xpc10:476" | 1454 | hwm=0.0.0 | 514 | 514 | - | - | --- | 515 | //| X477:"xpc10:477" | 1456 | hwm=0.0.1 | 515 | 515 | 516 | 516 | --- | 517 | //| X478:"xpc10:478" | 1457 | hwm=0.0.0 | 517 | 517 | - | - | --- | 518 | //| X479:"xpc10:479" | 1458 | hwm=0.0.0 | 518 | 518 | - | - | --- | 519 | //| X480:"xpc10:480" | 1459 | hwm=0.0.0 | 519 | 519 | - | - | --- | 520 | //| X481:"xpc10:481" | 1460 | hwm=0.0.0 | 520 | 520 | - | - | --- | 352 | //| X482:"xpc10:482" | 1461 | hwm=0.0.0 | 521 | 521 | - | - | --- | 522 | //| X483:"xpc10:483" | 1462 | hwm=0.0.0 | 522 | 522 | - | - | --- | 523 | //| X484:"xpc10:484" | 1463 | hwm=0.0.0 | 523 | 523 | - | - | --- | 524 | //| X485:"xpc10:485" | 1464 | hwm=0.0.0 | 524 | 524 | - | - | --- | 525 | //| X486:"xpc10:486" | 1465 | hwm=0.0.0 | 525 | 525 | - | - | --- | 526 | //| X487:"xpc10:487" | 1467 | hwm=0.0.0 | 526 | 526 | - | - | --- | 532 | //| X487:"xpc10:487" | 1466 | hwm=0.0.0 | 526 | 526 | - | - | --- | 527 | //| X488:"xpc10:488" | 1468 | hwm=0.0.0 | 527 | 527 | - | - | --- | 528 | //| X489:"xpc10:489" | 1469 | hwm=0.0.0 | 528 | 528 | - | - | --- | 529 | //| X490:"xpc10:490" | 1470 | hwm=0.0.0 | 529 | 529 | - | - | --- | 530 | //| X491:"xpc10:491" | 1471 | hwm=0.0.0 | 530 | 530 | - | - | --- | 531 | //| X492:"xpc10:492" | 1472 | hwm=0.0.0 | 531 | 531 | - | - | --- | 532 | //| X493:"xpc10:493" | 1473 | hwm=0.0.0 | 532 | 532 | - | - | --- | 533 | //| X494:"xpc10:494" | 1474 | hwm=0.0.0 | 533 | 533 | - | - | --- | 534 | //| X495:"xpc10:495" | 1475 | hwm=0.1.0 | 534 | 535 | 535 | 535 | --- | 536 | //| X496:"xpc10:496" | 1477 | hwm=1.1.0 | 536 | 537 | - | - | --- | 566 | //| X496:"xpc10:496" | 1476 | hwm=1.1.0 | 536 | 537 | - | - | --- | 538 | //| X497:"xpc10:497" | 1478 | hwm=0.0.0 | 538 | 538 | - | - | --- | 539 | //| X498:"xpc10:498" | 1479 | hwm=0.0.0 | 539 | 539 | - | - | --- | 540 | //| X499:"xpc10:499" | 1480 | hwm=0.0.0 | 540 | 540 | - | - | --- | 541 | //| X500:"xpc10:500" | 1482 | hwm=0.0.0 | 541 | 541 | - | - | --- | 546 | //| X500:"xpc10:500" | 1481 | hwm=0.0.0 | 541 | 541 | - | - | --- | 542 | //| X501:"xpc10:501" | 1484 | hwm=0.0.0 | 542 | 542 | - | - | --- | 545 | //| X501:"xpc10:501" | 1483 | hwm=0.0.0 | 542 | 542 | - | - | --- | 543 | //| X502:"xpc10:502" | 1485 | hwm=0.0.1 | 543 | 543 | 544 | 544 | --- | 545 | //| X503:"xpc10:503" | 1486 | hwm=0.0.0 | 545 | 545 | - | - | --- | 546 | //| X504:"xpc10:504" | 1487 | hwm=0.0.0 | 546 | 546 | - | - | --- | 547 | //| X505:"xpc10:505" | 1489 | hwm=0.0.0 | 547 | 547 | - | - | --- | 552 | //| X505:"xpc10:505" | 1488 | hwm=0.0.0 | 547 | 547 | - | - | --- | 548 | //| X506:"xpc10:506" | 1491 | hwm=0.0.0 | 548 | 548 | - | - | --- | 551 | //| X506:"xpc10:506" | 1490 | hwm=0.0.0 | 548 | 548 | - | - | --- | 549 | //| X507:"xpc10:507" | 1492 | hwm=0.0.1 | 549 | 549 | 550 | 550 | --- | 551 | //| X508:"xpc10:508" | 1493 | hwm=0.0.0 | 551 | 551 | - | - | --- | 552 | //| X509:"xpc10:509" | 1494 | hwm=0.0.0 | 552 | 552 | - | - | --- | 553 | //| X510:"xpc10:510" | 1496 | hwm=0.0.0 | 553 | 553 | - | - | --- | 558 | //| X510:"xpc10:510" | 1495 | hwm=0.0.0 | 553 | 553 | - | - | --- | 554 | //| X511:"xpc10:511" | 1498 | hwm=0.0.0 | 554 | 554 | - | - | --- | 557 | //| X511:"xpc10:511" | 1497 | hwm=0.0.0 | 554 | 554 | - | - | --- | 555 | //| X512:"xpc10:512" | 1499 | hwm=0.0.1 | 555 | 555 | 556 | 556 | --- | 557 | //| X513:"xpc10:513" | 1500 | hwm=0.0.0 | 557 | 557 | - | - | --- | 558 | //| X514:"xpc10:514" | 1501 | hwm=0.0.0 | 558 | 558 | - | - | --- | 559 | //| X515:"xpc10:515" | 1503 | hwm=0.0.0 | 559 | 559 | - | - | --- | 395 | //| X515:"xpc10:515" | 1502 | hwm=0.0.0 | 559 | 559 | - | - | --- | 560 | //| X516:"xpc10:516" | 1505 | hwm=0.0.0 | 560 | 560 | - | - | --- | 395 | //| X516:"xpc10:516" | 1504 | hwm=0.0.0 | 560 | 560 | - | - | --- | 561 | //| X517:"xpc10:517" | 1506 | hwm=0.0.1 | 561 | 561 | 562 | 562 | --- | 563 | //| X518:"xpc10:518" | 1507 | hwm=0.0.0 | 563 | 563 | - | - | --- | 564 | //| X519:"xpc10:519" | 1508 | hwm=0.0.0 | 564 | 564 | - | - | --- | 565 | //| X520:"xpc10:520" | 1509 | hwm=0.0.0 | 565 | 565 | - | - | --- | 395 | //| X521:"xpc10:521" | 1510 | hwm=0.0.0 | 566 | 566 | - | - | --- | 567 | //| X522:"xpc10:522" | 1511 | hwm=0.0.0 | 567 | 567 | - | - | --- | 568 | //| X523:"xpc10:523" | 1512 | hwm=0.0.0 | 568 | 568 | - | - | --- | 569 | //| X524:"xpc10:524" | 1513 | hwm=0.0.0 | 569 | 569 | - | - | --- | 391 | //| X525:"xpc10:525" | 1514 | hwm=0.0.0 | 570 | 570 | - | - | --- | 571 | //| X526:"xpc10:526" | 1515 | hwm=0.0.0 | 571 | 571 | - | - | --- | 572 | //| X527:"xpc10:527" | 1516 | hwm=0.0.0 | 572 | 572 | - | - | --- | 573 | //| X528:"xpc10:528" | 1517 | hwm=0.0.0 | 573 | 573 | - | - | --- | 574 | //| X529:"xpc10:529" | 1518 | hwm=0.0.0 | 574 | 574 | - | - | --- | 575 | //| X530:"xpc10:530" | 1519 | hwm=0.0.0 | 575 | 575 | - | - | --- | 576 | //| X531:"xpc10:531" | 1521 | hwm=0.0.0 | 576 | 576 | - | - | --- | 578 | //| X531:"xpc10:531" | 1520 | hwm=0.0.0 | 576 | 576 | - | - | --- | 577 | //| X532:"xpc10:532" | 1522 | hwm=0.0.0 | 577 | 577 | - | - | --- | 189 | //| X533:"xpc10:533" | 1523 | hwm=0.0.0 | 578 | 578 | - | - | --- | 579 | //| X534:"xpc10:534" | 1524 | hwm=0.0.0 | 579 | 579 | - | - | --- | 580 | //| X535:"xpc10:535" | 1526 | hwm=0.0.0 | 580 | 580 | - | - | --- | 585 | //| X535:"xpc10:535" | 1525 | hwm=0.0.0 | 580 | 580 | - | - | --- | 581 | //| X536:"xpc10:536" | 1528 | hwm=0.0.0 | 581 | 581 | - | - | --- | 584 | //| X536:"xpc10:536" | 1527 | hwm=0.0.0 | 581 | 581 | - | - | --- | 582 | //| X537:"xpc10:537" | 1529 | hwm=0.0.1 | 582 | 582 | 583 | 583 | --- | 584 | //| X538:"xpc10:538" | 1530 | hwm=0.0.0 | 584 | 584 | - | - | --- | 585 | //| X539:"xpc10:539" | 1531 | hwm=0.0.0 | 585 | 585 | - | - | --- | 586 | //| X540:"xpc10:540" | 1533 | hwm=0.0.0 | 586 | 586 | - | - | --- | 591 | //| X540:"xpc10:540" | 1532 | hwm=0.0.0 | 586 | 586 | - | - | --- | 587 | //| X541:"xpc10:541" | 1535 | hwm=0.0.0 | 587 | 587 | - | - | --- | 590 | //| X541:"xpc10:541" | 1534 | hwm=0.0.0 | 587 | 587 | - | - | --- | 588 | //| X542:"xpc10:542" | 1536 | hwm=0.0.1 | 588 | 588 | 589 | 589 | --- | 590 | //| X543:"xpc10:543" | 1537 | hwm=0.0.0 | 590 | 590 | - | - | --- | 591 | //| X544:"xpc10:544" | 1538 | hwm=0.0.0 | 591 | 591 | - | - | --- | 592 | //| X545:"xpc10:545" | 1540 | hwm=0.0.0 | 592 | 592 | - | - | --- | 597 | //| X545:"xpc10:545" | 1539 | hwm=0.0.0 | 592 | 592 | - | - | --- | 593 | //| X546:"xpc10:546" | 1542 | hwm=0.0.0 | 593 | 593 | - | - | --- | 596 | //| X546:"xpc10:546" | 1541 | hwm=0.0.0 | 593 | 593 | - | - | --- | 594 | //| X547:"xpc10:547" | 1543 | hwm=0.0.1 | 594 | 594 | 595 | 595 | --- | 596 | //| X548:"xpc10:548" | 1544 | hwm=0.0.0 | 596 | 596 | - | - | --- | 597 | //| X549:"xpc10:549" | 1545 | hwm=0.0.0 | 597 | 597 | - | - | --- | 598 | //| X550:"xpc10:550" | 1547 | hwm=0.0.0 | 598 | 598 | - | - | --- | 603 | //| X550:"xpc10:550" | 1546 | hwm=0.0.0 | 598 | 598 | - | - | --- | 599 | //| X551:"xpc10:551" | 1549 | hwm=0.0.0 | 599 | 599 | - | - | --- | 602 | //| X551:"xpc10:551" | 1548 | hwm=0.0.0 | 599 | 599 | - | - | --- | 600 | //| X552:"xpc10:552" | 1550 | hwm=0.0.1 | 600 | 600 | 601 | 601 | --- | 602 | //| X553:"xpc10:553" | 1551 | hwm=0.0.0 | 602 | 602 | - | - | --- | 603 | //| X554:"xpc10:554" | 1552 | hwm=0.0.0 | 603 | 603 | - | - | --- | 604 | //| X555:"xpc10:555" | 1553 | hwm=0.0.0 | 604 | 604 | - | - | --- | 605 | //| X556:"xpc10:556" | 1554 | hwm=0.0.0 | 605 | 605 | - | - | --- | 574 | //*---------------------+------+-------------+------+------+-------+-----+-------------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X0:"xpc10:start0" 900 : major_start_pcl=0 edge_private_start/end=-1/-1 exec=0 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X0:"xpc10:start0" //res2: Thread=xpc10 state=X0:"xpc10:start0" //*-----+-----+---------+------* //| pc | eno | Phaser | Work | //*-----+-----+---------+------* //| 0 | - | R0 CTRL | | //| 0 | 900 | R0 DATA | | //| 0+E | 900 | W0 DATA | | //*-----+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X1:"xpc10:1" 901 : major_start_pcl=1 edge_private_start/end=-1/-1 exec=1 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X1:"xpc10:1" //res2: Thread=xpc10 state=X1:"xpc10:1" //*-----+-----+---------+------* //| pc | eno | Phaser | Work | //*-----+-----+---------+------* //| 1 | - | R0 CTRL | | //| 1 | 901 | R0 DATA | | //| 1+E | 901 | W0 DATA | | //*-----+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X2:"xpc10:2" 902 : major_start_pcl=2 edge_private_start/end=-1/-1 exec=2 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X2:"xpc10:2" //res2: Thread=xpc10 state=X2:"xpc10:2" //*-----+-----+---------+------* //| pc | eno | Phaser | Work | //*-----+-----+---------+------* //| 2 | - | R0 CTRL | | //| 2 | 902 | R0 DATA | | //| 2+E | 902 | W0 DATA | | //*-----+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X3:"xpc10:3" 903 : major_start_pcl=3 edge_private_start/end=-1/-1 exec=3 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X3:"xpc10:3" //res2: Thread=xpc10 state=X3:"xpc10:3" //*-----+-----+---------+------* //| pc | eno | Phaser | Work | //*-----+-----+---------+------* //| 3 | - | R0 CTRL | | //| 3 | 903 | R0 DATA | | //| 3+E | 903 | W0 DATA | | //*-----+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X4:"xpc10:4" 904 : major_start_pcl=4 edge_private_start/end=-1/-1 exec=4 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X4:"xpc10:4" //res2: Thread=xpc10 state=X4:"xpc10:4" //*-----+-----+---------+------* //| pc | eno | Phaser | Work | //*-----+-----+---------+------* //| 4 | - | R0 CTRL | | //| 4 | 904 | R0 DATA | | //| 4+E | 904 | W0 DATA | | //*-----+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X5:"xpc10:5" 905 : major_start_pcl=5 edge_private_start/end=-1/-1 exec=5 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X5:"xpc10:5" //res2: Thread=xpc10 state=X5:"xpc10:5" //*-----+-----+---------+------* //| pc | eno | Phaser | Work | //*-----+-----+---------+------* //| 5 | - | R0 CTRL | | //| 5 | 905 | R0 DATA | | //| 5+E | 905 | W0 DATA | | //*-----+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X6:"xpc10:6" 906 : major_start_pcl=6 edge_private_start/end=-1/-1 exec=6 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X6:"xpc10:6" //res2: Thread=xpc10 state=X6:"xpc10:6" //*-----+-----+---------+------* //| pc | eno | Phaser | Work | //*-----+-----+---------+------* //| 6 | - | R0 CTRL | | //| 6 | 906 | R0 DATA | | //| 6+E | 906 | W0 DATA | | //*-----+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X7:"xpc10:7" 907 : major_start_pcl=7 edge_private_start/end=-1/-1 exec=7 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X7:"xpc10:7" //res2: Thread=xpc10 state=X7:"xpc10:7" //*-----+-----+---------+------* //| pc | eno | Phaser | Work | //*-----+-----+---------+------* //| 7 | - | R0 CTRL | | //| 7 | 907 | R0 DATA | | //| 7+E | 907 | W0 DATA | | //*-----+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X8:"xpc10:8" 908 : major_start_pcl=8 edge_private_start/end=-1/-1 exec=8 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X8:"xpc10:8" //res2: Thread=xpc10 state=X8:"xpc10:8" //*-----+-----+---------+------* //| pc | eno | Phaser | Work | //*-----+-----+---------+------* //| 8 | - | R0 CTRL | | //| 8 | 908 | R0 DATA | | //| 8+E | 908 | W0 DATA | | //*-----+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X9:"xpc10:9" 909 : major_start_pcl=9 edge_private_start/end=-1/-1 exec=9 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X9:"xpc10:9" //res2: Thread=xpc10 state=X9:"xpc10:9" //*-----+-----+---------+------* //| pc | eno | Phaser | Work | //*-----+-----+---------+------* //| 9 | - | R0 CTRL | | //| 9 | 909 | R0 DATA | | //| 9+E | 909 | W0 DATA | | //*-----+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X10:"xpc10:10" 910 : major_start_pcl=10 edge_private_start/end=-1/-1 exec=10 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X10:"xpc10:10" //res2: Thread=xpc10 state=X10:"xpc10:10" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 10 | - | R0 CTRL | | //| 10 | 910 | R0 DATA | | //| 10+E | 910 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X11:"xpc10:11" 911 : major_start_pcl=11 edge_private_start/end=-1/-1 exec=11 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X11:"xpc10:11" //res2: Thread=xpc10 state=X11:"xpc10:11" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 11 | - | R0 CTRL | | //| 11 | 911 | R0 DATA | | //| 11+E | 911 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X12:"xpc10:12" 912 : major_start_pcl=12 edge_private_start/end=-1/-1 exec=12 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X12:"xpc10:12" //res2: Thread=xpc10 state=X12:"xpc10:12" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 12 | - | R0 CTRL | | //| 12 | 912 | R0 DATA | | //| 12+E | 912 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X13:"xpc10:13" 913 : major_start_pcl=13 edge_private_start/end=-1/-1 exec=13 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X13:"xpc10:13" //res2: Thread=xpc10 state=X13:"xpc10:13" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 13 | - | R0 CTRL | | //| 13 | 913 | R0 DATA | | //| 13+E | 913 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X14:"xpc10:14" 914 : major_start_pcl=14 edge_private_start/end=-1/-1 exec=14 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X14:"xpc10:14" //res2: Thread=xpc10 state=X14:"xpc10:14" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 14 | - | R0 CTRL | | //| 14 | 914 | R0 DATA | | //| 14+E | 914 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X15:"xpc10:15" 915 : major_start_pcl=15 edge_private_start/end=-1/-1 exec=15 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X15:"xpc10:15" //res2: Thread=xpc10 state=X15:"xpc10:15" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 15 | - | R0 CTRL | | //| 15 | 915 | R0 DATA | | //| 15+E | 915 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X16:"xpc10:16" 916 : major_start_pcl=16 edge_private_start/end=-1/-1 exec=16 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X16:"xpc10:16" //res2: Thread=xpc10 state=X16:"xpc10:16" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 16 | - | R0 CTRL | | //| 16 | 916 | R0 DATA | | //| 16+E | 916 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X17:"xpc10:17" 917 : major_start_pcl=17 edge_private_start/end=-1/-1 exec=17 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X17:"xpc10:17" //res2: Thread=xpc10 state=X17:"xpc10:17" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 17 | - | R0 CTRL | | //| 17 | 917 | R0 DATA | | //| 17+E | 917 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X18:"xpc10:18" 918 : major_start_pcl=18 edge_private_start/end=-1/-1 exec=18 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X18:"xpc10:18" //res2: Thread=xpc10 state=X18:"xpc10:18" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 18 | - | R0 CTRL | | //| 18 | 918 | R0 DATA | | //| 18+E | 918 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X19:"xpc10:19" 919 : major_start_pcl=19 edge_private_start/end=-1/-1 exec=19 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X19:"xpc10:19" //res2: Thread=xpc10 state=X19:"xpc10:19" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 19 | - | R0 CTRL | | //| 19 | 919 | R0 DATA | | //| 19+E | 919 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X20:"xpc10:20" 920 : major_start_pcl=20 edge_private_start/end=-1/-1 exec=20 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X20:"xpc10:20" //res2: Thread=xpc10 state=X20:"xpc10:20" //*------+-----+---------+------------* //| pc | eno | Phaser | Work | //*------+-----+---------+------------* //| 20 | - | R0 CTRL | | //| 20 | 920 | R0 DATA | | //| 20+E | 920 | W0 DATA | W/P:Start | //*------+-----+---------+------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X21:"xpc10:21" 921 : major_start_pcl=21 edge_private_start/end=-1/-1 exec=21 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X21:"xpc10:21" //res2: Thread=xpc10 state=X21:"xpc10:21" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 21 | - | R0 CTRL | | //| 21 | 921 | R0 DATA | | //| 21+E | 921 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X22:"xpc10:22" 922 : major_start_pcl=22 edge_private_start/end=-1/-1 exec=22 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X22:"xpc10:22" //res2: Thread=xpc10 state=X22:"xpc10:22" //*------+-----+---------+------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+------------------------------* //| 22 | - | R0 CTRL | | //| 22 | 922 | R0 DATA | | //| 22+E | 922 | W0 DATA | PLI:Cuckoo cache testben... | //*------+-----+---------+------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X23:"xpc10:23" 923 : major_start_pcl=23 edge_private_start/end=-1/-1 exec=23 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X23:"xpc10:23" //res2: Thread=xpc10 state=X23:"xpc10:23" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 23 | - | R0 CTRL | | //| 23 | 923 | R0 DATA | | //| 23+E | 923 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X24:"xpc10:24" 924 : major_start_pcl=24 edge_private_start/end=-1/-1 exec=24 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X24:"xpc10:24" //res2: Thread=xpc10 state=X24:"xpc10:24" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 24 | - | R0 CTRL | | //| 24 | 924 | R0 DATA | | //| 24+E | 924 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X25:"xpc10:25" 925 : major_start_pcl=25 edge_private_start/end=-1/-1 exec=25 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X25:"xpc10:25" //res2: Thread=xpc10 state=X25:"xpc10:25" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 25 | - | R0 CTRL | | //| 25 | 925 | R0 DATA | | //| 25+E | 925 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X26:"xpc10:26" 926 : major_start_pcl=26 edge_private_start/end=-1/-1 exec=26 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X26:"xpc10:26" //res2: Thread=xpc10 state=X26:"xpc10:26" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 26 | - | R0 CTRL | | //| 26 | 926 | R0 DATA | | //| 26+E | 926 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X27:"xpc10:27" 927 : major_start_pcl=27 edge_private_start/end=-1/-1 exec=27 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X27:"xpc10:27" //res2: Thread=xpc10 state=X27:"xpc10:27" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 27 | - | R0 CTRL | | //| 27 | 927 | R0 DATA | | //| 27+E | 927 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X28:"xpc10:28" 928 : major_start_pcl=28 edge_private_start/end=-1/-1 exec=28 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X28:"xpc10:28" //res2: Thread=xpc10 state=X28:"xpc10:28" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 28 | - | R0 CTRL | | //| 28 | 928 | R0 DATA | | //| 28+E | 928 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X29:"xpc10:29" 929 : major_start_pcl=29 edge_private_start/end=-1/-1 exec=29 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X29:"xpc10:29" //res2: Thread=xpc10 state=X29:"xpc10:29" //*------+-----+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+--------------------------------------------------* //| 29 | - | R0 CTRL | | //| 29 | 929 | R0 DATA | | //| 29+E | 929 | W0 DATA | @_SINT/CC/SCALbx24_next_free te=te:29 scalarw(0) | //*------+-----+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X30:"xpc10:30" 930 : major_start_pcl=30 edge_private_start/end=-1/-1 exec=30 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X30:"xpc10:30" //res2: Thread=xpc10 state=X30:"xpc10:30" //*------+-----+---------+----------------------------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+----------------------------------------------------* //| 30 | - | R0 CTRL | | //| 30 | 930 | R0 DATA | | //| 30+E | 930 | W0 DATA | @_SINT/CC/SCALbx24_next_victim te=te:30 scalarw(0) | //*------+-----+---------+----------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X31:"xpc10:31" 931 : major_start_pcl=31 edge_private_start/end=-1/-1 exec=31 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X31:"xpc10:31" //res2: Thread=xpc10 state=X31:"xpc10:31" //*------+-----+---------+------------------------------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+------------------------------------------------------* //| 31 | - | R0 CTRL | | //| 31 | 931 | R0 DATA | | //| 31+E | 931 | W0 DATA | @_SINT/CC/SCALbx24_stats_inserts te=te:31 scalarw(0) | //*------+-----+---------+------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X32:"xpc10:32" 932 : major_start_pcl=32 edge_private_start/end=-1/-1 exec=32 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X32:"xpc10:32" //res2: Thread=xpc10 state=X32:"xpc10:32" //*------+-----+---------+------------------------------------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+------------------------------------------------------------* //| 32 | - | R0 CTRL | | //| 32 | 932 | R0 DATA | | //| 32+E | 932 | W0 DATA | @_SINT/CC/SCALbx24_stats_insert_probes te=te:32 scalarw(0) | //*------+-----+---------+------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X33:"xpc10:33" 933 : major_start_pcl=33 edge_private_start/end=-1/-1 exec=33 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X33:"xpc10:33" //res2: Thread=xpc10 state=X33:"xpc10:33" //*------+-----+---------+---------------------------------------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+---------------------------------------------------------------* //| 33 | - | R0 CTRL | | //| 33 | 933 | R0 DATA | | //| 33+E | 933 | W0 DATA | @_SINT/CC/SCALbx24_stats_insert_evictions te=te:33 scalarw(0) | //*------+-----+---------+---------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X34:"xpc10:34" 934 : major_start_pcl=34 edge_private_start/end=-1/-1 exec=34 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X34:"xpc10:34" //res2: Thread=xpc10 state=X34:"xpc10:34" //*------+-----+---------+------------------------------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+------------------------------------------------------* //| 34 | - | R0 CTRL | | //| 34 | 934 | R0 DATA | | //| 34+E | 934 | W0 DATA | @_SINT/CC/SCALbx24_stats_lookups te=te:34 scalarw(0) | //*------+-----+---------+------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X35:"xpc10:35" 935 : major_start_pcl=35 edge_private_start/end=-1/-1 exec=35 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X35:"xpc10:35" //res2: Thread=xpc10 state=X35:"xpc10:35" //*------+-----+---------+------------------------------------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+------------------------------------------------------------* //| 35 | - | R0 CTRL | | //| 35 | 935 | R0 DATA | | //| 35+E | 935 | W0 DATA | @_SINT/CC/SCALbx24_stats_lookup_probes te=te:35 scalarw(0) | //*------+-----+---------+------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X36:"xpc10:36" 936 : major_start_pcl=36 edge_private_start/end=-1/-1 exec=36 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X36:"xpc10:36" //res2: Thread=xpc10 state=X36:"xpc10:36" //*------+-----+---------+----------------------------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+----------------------------------------------------* //| 36 | - | R0 CTRL | | //| 36 | 936 | R0 DATA | | //| 36+E | 936 | W0 DATA | @_SINT/CC/SCALbx24_waycap te=te:36 scalarw(S'8192) | //*------+-----+---------+----------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X37:"xpc10:37" 937 : major_start_pcl=37 edge_private_start/end=-1/-1 exec=37 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X37:"xpc10:37" //res2: Thread=xpc10 state=X37:"xpc10:37" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 37 | - | R0 CTRL | | //| 37 | 937 | R0 DATA | | //| 37+E | 937 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X38:"xpc10:38" 938 : major_start_pcl=38 edge_private_start/end=-1/-1 exec=38 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X38:"xpc10:38" //res2: Thread=xpc10 state=X38:"xpc10:38" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 38 | - | R0 CTRL | | //| 38 | 938 | R0 DATA | | //| 38+E | 938 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X39:"xpc10:39" 939 : major_start_pcl=39 edge_private_start/end=-1/-1 exec=39 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X39:"xpc10:39" //res2: Thread=xpc10 state=X39:"xpc10:39" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 39 | - | R0 CTRL | | //| 39 | 939 | R0 DATA | | //| 39+E | 939 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X40:"xpc10:40" 940 : major_start_pcl=40 edge_private_start/end=-1/-1 exec=40 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X40:"xpc10:40" //res2: Thread=xpc10 state=X40:"xpc10:40" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 40 | - | R0 CTRL | | //| 40 | 940 | R0 DATA | | //| 40+E | 940 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X41:"xpc10:41" 941 : major_start_pcl=41 edge_private_start/end=-1/-1 exec=41 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X41:"xpc10:41" //res2: Thread=xpc10 state=X41:"xpc10:41" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 41 | - | R0 CTRL | | //| 41 | 941 | R0 DATA | | //| 41+E | 941 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X42:"xpc10:42" 942 : major_start_pcl=42 edge_private_start/end=-1/-1 exec=42 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X42:"xpc10:42" //res2: Thread=xpc10 state=X42:"xpc10:42" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 42 | - | R0 CTRL | | //| 42 | 942 | R0 DATA | | //| 42+E | 942 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X43:"xpc10:43" 943 : major_start_pcl=43 edge_private_start/end=-1/-1 exec=43 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X43:"xpc10:43" //res2: Thread=xpc10 state=X43:"xpc10:43" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 43 | - | R0 CTRL | | //| 43 | 943 | R0 DATA | | //| 43+E | 943 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X44:"xpc10:44" 944 : major_start_pcl=44 edge_private_start/end=-1/-1 exec=44 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X44:"xpc10:44" //res2: Thread=xpc10 state=X44:"xpc10:44" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 44 | - | R0 CTRL | | //| 44 | 944 | R0 DATA | | //| 44+E | 944 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X45:"xpc10:45" 945 : major_start_pcl=45 edge_private_start/end=-1/-1 exec=45 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X45:"xpc10:45" //res2: Thread=xpc10 state=X45:"xpc10:45" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 45 | - | R0 CTRL | | //| 45 | 945 | R0 DATA | | //| 45+E | 945 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X46:"xpc10:46" 946 : major_start_pcl=46 edge_private_start/end=-1/-1 exec=46 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X46:"xpc10:46" //res2: Thread=xpc10 state=X46:"xpc10:46" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 46 | - | R0 CTRL | | //| 46 | 946 | R0 DATA | | //| 46+E | 946 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X47:"xpc10:47" 947 : major_start_pcl=47 edge_private_start/end=-1/-1 exec=47 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X47:"xpc10:47" //res2: Thread=xpc10 state=X47:"xpc10:47" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 47 | - | R0 CTRL | | //| 47 | 947 | R0 DATA | | //| 47+E | 947 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X48:"xpc10:48" 948 : major_start_pcl=48 edge_private_start/end=-1/-1 exec=48 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X48:"xpc10:48" //res2: Thread=xpc10 state=X48:"xpc10:48" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 48 | - | R0 CTRL | | //| 48 | 948 | R0 DATA | | //| 48+E | 948 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X49:"xpc10:49" 949 : major_start_pcl=49 edge_private_start/end=-1/-1 exec=49 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X49:"xpc10:49" //res2: Thread=xpc10 state=X49:"xpc10:49" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 49 | - | R0 CTRL | | //| 49 | 949 | R0 DATA | | //| 49+E | 949 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X50:"xpc10:50" 950 : major_start_pcl=50 edge_private_start/end=-1/-1 exec=50 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X50:"xpc10:50" //res2: Thread=xpc10 state=X50:"xpc10:50" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 50 | - | R0 CTRL | | //| 50 | 950 | R0 DATA | | //| 50+E | 950 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X51:"xpc10:51" 951 : major_start_pcl=51 edge_private_start/end=-1/-1 exec=51 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X51:"xpc10:51" //res2: Thread=xpc10 state=X51:"xpc10:51" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 51 | - | R0 CTRL | | //| 51 | 951 | R0 DATA | | //| 51+E | 951 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X52:"xpc10:52" 952 : major_start_pcl=52 edge_private_start/end=-1/-1 exec=52 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X52:"xpc10:52" //res2: Thread=xpc10 state=X52:"xpc10:52" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 52 | - | R0 CTRL | | //| 52 | 952 | R0 DATA | | //| 52+E | 952 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X53:"xpc10:53" 953 : major_start_pcl=53 edge_private_start/end=-1/-1 exec=53 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X53:"xpc10:53" //res2: Thread=xpc10 state=X53:"xpc10:53" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 53 | - | R0 CTRL | | //| 53 | 953 | R0 DATA | | //| 53+E | 953 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X54:"xpc10:54" 954 : major_start_pcl=54 edge_private_start/end=-1/-1 exec=54 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X54:"xpc10:54" //res2: Thread=xpc10 state=X54:"xpc10:54" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 54 | - | R0 CTRL | | //| 54 | 954 | R0 DATA | | //| 54+E | 954 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X55:"xpc10:55" 955 : major_start_pcl=55 edge_private_start/end=-1/-1 exec=55 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X55:"xpc10:55" //res2: Thread=xpc10 state=X55:"xpc10:55" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 55 | - | R0 CTRL | | //| 55 | 955 | R0 DATA | | //| 55+E | 955 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X56:"xpc10:56" 956 : major_start_pcl=56 edge_private_start/end=-1/-1 exec=56 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X56:"xpc10:56" //res2: Thread=xpc10 state=X56:"xpc10:56" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 56 | - | R0 CTRL | | //| 56 | 956 | R0 DATA | | //| 56+E | 956 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X57:"xpc10:57" 957 : major_start_pcl=57 edge_private_start/end=-1/-1 exec=57 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X57:"xpc10:57" //res2: Thread=xpc10 state=X57:"xpc10:57" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 57 | - | R0 CTRL | | //| 57 | 957 | R0 DATA | | //| 57+E | 957 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X58:"xpc10:58" 958 : major_start_pcl=58 edge_private_start/end=-1/-1 exec=58 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X58:"xpc10:58" //res2: Thread=xpc10 state=X58:"xpc10:58" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 58 | - | R0 CTRL | | //| 58 | 958 | R0 DATA | | //| 58+E | 958 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X59:"xpc10:59" 959 : major_start_pcl=59 edge_private_start/end=-1/-1 exec=59 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X59:"xpc10:59" //res2: Thread=xpc10 state=X59:"xpc10:59" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 59 | - | R0 CTRL | | //| 59 | 959 | R0 DATA | | //| 59+E | 959 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X60:"xpc10:60" 960 : major_start_pcl=60 edge_private_start/end=-1/-1 exec=60 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X60:"xpc10:60" //res2: Thread=xpc10 state=X60:"xpc10:60" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 60 | - | R0 CTRL | | //| 60 | 960 | R0 DATA | | //| 60+E | 960 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X61:"xpc10:61" 961 : major_start_pcl=61 edge_private_start/end=-1/-1 exec=61 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X61:"xpc10:61" //res2: Thread=xpc10 state=X61:"xpc10:61" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 61 | - | R0 CTRL | | //| 61 | 961 | R0 DATA | | //| 61+E | 961 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X62:"xpc10:62" 962 : major_start_pcl=62 edge_private_start/end=-1/-1 exec=62 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X62:"xpc10:62" //res2: Thread=xpc10 state=X62:"xpc10:62" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 62 | - | R0 CTRL | | //| 62 | 962 | R0 DATA | | //| 62+E | 962 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X63:"xpc10:63" 963 : major_start_pcl=63 edge_private_start/end=-1/-1 exec=63 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X63:"xpc10:63" //res2: Thread=xpc10 state=X63:"xpc10:63" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 63 | - | R0 CTRL | | //| 63 | 963 | R0 DATA | | //| 63+E | 963 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X64:"xpc10:64" 964 : major_start_pcl=64 edge_private_start/end=-1/-1 exec=64 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X64:"xpc10:64" //res2: Thread=xpc10 state=X64:"xpc10:64" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 64 | - | R0 CTRL | | //| 64 | 964 | R0 DATA | | //| 64+E | 964 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X65:"xpc10:65" 965 : major_start_pcl=65 edge_private_start/end=-1/-1 exec=65 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X65:"xpc10:65" //res2: Thread=xpc10 state=X65:"xpc10:65" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 65 | - | R0 CTRL | | //| 65 | 965 | R0 DATA | | //| 65+E | 965 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X66:"xpc10:66" 966 : major_start_pcl=66 edge_private_start/end=-1/-1 exec=66 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X66:"xpc10:66" //res2: Thread=xpc10 state=X66:"xpc10:66" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 66 | - | R0 CTRL | | //| 66 | 966 | R0 DATA | | //| 66+E | 966 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X67:"xpc10:67" 967 : major_start_pcl=67 edge_private_start/end=-1/-1 exec=67 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X67:"xpc10:67" //res2: Thread=xpc10 state=X67:"xpc10:67" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 67 | - | R0 CTRL | | //| 67 | 967 | R0 DATA | | //| 67+E | 967 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X68:"xpc10:68" 968 : major_start_pcl=68 edge_private_start/end=-1/-1 exec=68 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X68:"xpc10:68" //res2: Thread=xpc10 state=X68:"xpc10:68" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 68 | - | R0 CTRL | | //| 68 | 968 | R0 DATA | | //| 68+E | 968 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X69:"xpc10:69" 969 : major_start_pcl=69 edge_private_start/end=-1/-1 exec=69 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X69:"xpc10:69" //res2: Thread=xpc10 state=X69:"xpc10:69" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 69 | - | R0 CTRL | | //| 69 | 969 | R0 DATA | | //| 69+E | 969 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X70:"xpc10:70" 970 : major_start_pcl=70 edge_private_start/end=-1/-1 exec=70 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X70:"xpc10:70" //res2: Thread=xpc10 state=X70:"xpc10:70" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 70 | - | R0 CTRL | | //| 70 | 970 | R0 DATA | | //| 70+E | 970 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X71:"xpc10:71" 971 : major_start_pcl=71 edge_private_start/end=-1/-1 exec=71 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X71:"xpc10:71" //res2: Thread=xpc10 state=X71:"xpc10:71" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 71 | - | R0 CTRL | | //| 71 | 971 | R0 DATA | | //| 71+E | 971 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X72:"xpc10:72" 972 : major_start_pcl=72 edge_private_start/end=-1/-1 exec=72 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X72:"xpc10:72" //res2: Thread=xpc10 state=X72:"xpc10:72" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 72 | - | R0 CTRL | | //| 72 | 972 | R0 DATA | | //| 72+E | 972 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X73:"xpc10:73" 973 : major_start_pcl=73 edge_private_start/end=-1/-1 exec=73 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X73:"xpc10:73" //res2: Thread=xpc10 state=X73:"xpc10:73" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 73 | - | R0 CTRL | | //| 73 | 973 | R0 DATA | | //| 73+E | 973 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X74:"xpc10:74" 974 : major_start_pcl=74 edge_private_start/end=-1/-1 exec=74 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X74:"xpc10:74" //res2: Thread=xpc10 state=X74:"xpc10:74" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 74 | - | R0 CTRL | | //| 74 | 974 | R0 DATA | | //| 74+E | 974 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X75:"xpc10:75" 975 : major_start_pcl=75 edge_private_start/end=-1/-1 exec=75 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X75:"xpc10:75" //res2: Thread=xpc10 state=X75:"xpc10:75" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 75 | - | R0 CTRL | | //| 75 | 975 | R0 DATA | | //| 75+E | 975 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X76:"xpc10:76" 976 : major_start_pcl=76 edge_private_start/end=-1/-1 exec=76 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X76:"xpc10:76" //res2: Thread=xpc10 state=X76:"xpc10:76" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 76 | - | R0 CTRL | | //| 76 | 976 | R0 DATA | | //| 76+E | 976 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X77:"xpc10:77" 977 : major_start_pcl=77 edge_private_start/end=-1/-1 exec=77 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X77:"xpc10:77" //res2: Thread=xpc10 state=X77:"xpc10:77" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 77 | - | R0 CTRL | | //| 77 | 977 | R0 DATA | | //| 77+E | 977 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X78:"xpc10:78" 978 : major_start_pcl=78 edge_private_start/end=-1/-1 exec=78 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X78:"xpc10:78" //res2: Thread=xpc10 state=X78:"xpc10:78" //*------+-----+---------+----------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+----------------------------------* //| 78 | - | R0 CTRL | | //| 78 | 978 | R0 DATA | | //| 78+E | 978 | W0 DATA | TCCl0.12_V_0 te=te:78 scalarw(0) | //*------+-----+---------+----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X79:"xpc10:79" 979 : major_start_pcl=79 edge_private_start/end=-1/-1 exec=79 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X79:"xpc10:79" //res2: Thread=xpc10 state=X79:"xpc10:79" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 79 | - | R0 CTRL | | //| 79 | 979 | R0 DATA | | //| 79+E | 979 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X80:"xpc10:80" 980 : major_start_pcl=80 edge_private_start/end=-1/-1 exec=80 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X80:"xpc10:80" //res2: Thread=xpc10 state=X80:"xpc10:80" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 80 | - | R0 CTRL | | //| 80 | 980 | R0 DATA | | //| 80+E | 980 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X81:"xpc10:81" 981 : major_start_pcl=81 edge_private_start/end=-1/-1 exec=81 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X81:"xpc10:81" //res2: Thread=xpc10 state=X81:"xpc10:81" //*------+-----+---------+----------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+----------------------------------* //| 81 | - | R0 CTRL | | //| 81 | 981 | R0 DATA | | //| 81+E | 981 | W0 DATA | TCCl0.12_V_1 te=te:81 scalarw(0) | //*------+-----+---------+----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X82:"xpc10:82" 982 : major_start_pcl=82 edge_private_start/end=-1/-1 exec=82 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X82:"xpc10:82" //res2: Thread=xpc10 state=X82:"xpc10:82" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 82 | - | R0 CTRL | | //| 82 | 982 | R0 DATA | | //| 82+E | 982 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X83:"xpc10:83" 983 : major_start_pcl=83 edge_private_start/end=-1/-1 exec=83 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X83:"xpc10:83" //res2: Thread=xpc10 state=X83:"xpc10:83" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 83 | - | R0 CTRL | | //| 83 | 983 | R0 DATA | | //| 83+E | 983 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X84:"xpc10:84" 985 : major_start_pcl=84 edge_private_start/end=-1/-1 exec=84 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X84:"xpc10:84" 984 : major_start_pcl=84 edge_private_start/end=-1/-1 exec=84 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X84:"xpc10:84" //res2: Thread=xpc10 state=X84:"xpc10:84" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 84 | - | R0 CTRL | | //| 84 | 984 | R0 DATA | | //| 84+E | 984 | W0 DATA | | //| 84 | 985 | R0 DATA | | //| 84+E | 985 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X85:"xpc10:85" 987 : major_start_pcl=85 edge_private_start/end=-1/-1 exec=85 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X85:"xpc10:85" 986 : major_start_pcl=85 edge_private_start/end=-1/-1 exec=85 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X85:"xpc10:85" //res2: Thread=xpc10 state=X85:"xpc10:85" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 85 | - | R0 CTRL | | //| 85 | 986 | R0 DATA | | //| 85+E | 986 | W0 DATA | | //| 85 | 987 | R0 DATA | | //| 85+E | 987 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X86:"xpc10:86" 988 : major_start_pcl=86 edge_private_start/end=87/87 exec=86 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X86:"xpc10:86" //res2: Thread=xpc10 state=X86:"xpc10:86" //*------+-----+---------+-------------------------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+-------------------------------------------------* //| 86 | - | R0 CTRL | | //| 86 | 988 | R0 DATA | | //| 86+E | 988 | W0 DATA | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:86 write(0, 0) | //| 87 | 988 | W1 DATA | | //*------+-----+---------+-------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X87:"xpc10:87" 989 : major_start_pcl=88 edge_private_start/end=-1/-1 exec=88 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X87:"xpc10:87" //res2: Thread=xpc10 state=X87:"xpc10:87" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 88 | - | R0 CTRL | | //| 88 | 989 | R0 DATA | | //| 88+E | 989 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X88:"xpc10:88" 990 : major_start_pcl=89 edge_private_start/end=-1/-1 exec=89 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X88:"xpc10:88" //res2: Thread=xpc10 state=X88:"xpc10:88" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 89 | - | R0 CTRL | | //| 89 | 990 | R0 DATA | | //| 89+E | 990 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X89:"xpc10:89" 992 : major_start_pcl=90 edge_private_start/end=-1/-1 exec=90 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X89:"xpc10:89" 991 : major_start_pcl=90 edge_private_start/end=-1/-1 exec=90 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X89:"xpc10:89" //res2: Thread=xpc10 state=X89:"xpc10:89" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 90 | - | R0 CTRL | | //| 90 | 991 | R0 DATA | | //| 90+E | 991 | W0 DATA | | //| 90 | 992 | R0 DATA | | //| 90+E | 992 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X90:"xpc10:90" 994 : major_start_pcl=91 edge_private_start/end=-1/-1 exec=91 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X90:"xpc10:90" 993 : major_start_pcl=91 edge_private_start/end=-1/-1 exec=91 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X90:"xpc10:90" //res2: Thread=xpc10 state=X90:"xpc10:90" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 91 | - | R0 CTRL | | //| 91 | 993 | R0 DATA | | //| 91+E | 993 | W0 DATA | | //| 91 | 994 | R0 DATA | | //| 91+E | 994 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X91:"xpc10:91" 995 : major_start_pcl=92 edge_private_start/end=93/93 exec=92 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X91:"xpc10:91" //res2: Thread=xpc10 state=X91:"xpc10:91" //*------+-----+---------+-------------------------------------------------* //| pc | eno | Phaser | Work | //*------+-----+---------+-------------------------------------------------* //| 92 | - | R0 CTRL | | //| 92 | 995 | R0 DATA | | //| 92+E | 995 | W0 DATA | @_SINT/CC/MAPR10NoCE2_ARA0 te=te:92 write(0, 0) | //| 93 | 995 | W1 DATA | | //*------+-----+---------+-------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X92:"xpc10:92" 996 : major_start_pcl=94 edge_private_start/end=-1/-1 exec=94 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X92:"xpc10:92" //res2: Thread=xpc10 state=X92:"xpc10:92" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 94 | - | R0 CTRL | | //| 94 | 996 | R0 DATA | | //| 94+E | 996 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X93:"xpc10:93" 997 : major_start_pcl=95 edge_private_start/end=-1/-1 exec=95 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X93:"xpc10:93" //res2: Thread=xpc10 state=X93:"xpc10:93" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 95 | - | R0 CTRL | | //| 95 | 997 | R0 DATA | | //| 95+E | 997 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X94:"xpc10:94" 999 : major_start_pcl=96 edge_private_start/end=-1/-1 exec=96 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X94:"xpc10:94" 998 : major_start_pcl=96 edge_private_start/end=-1/-1 exec=96 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X94:"xpc10:94" //res2: Thread=xpc10 state=X94:"xpc10:94" //*------+-----+---------+------* //| pc | eno | Phaser | Work | //*------+-----+---------+------* //| 96 | - | R0 CTRL | | //| 96 | 998 | R0 DATA | | //| 96+E | 998 | W0 DATA | | //| 96 | 999 | R0 DATA | | //| 96+E | 999 | W0 DATA | | //*------+-----+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X95:"xpc10:95" 1001 : major_start_pcl=97 edge_private_start/end=-1/-1 exec=97 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X95:"xpc10:95" 1000 : major_start_pcl=97 edge_private_start/end=-1/-1 exec=97 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X95:"xpc10:95" //res2: Thread=xpc10 state=X95:"xpc10:95" //*------+------+---------+------* //| pc | eno | Phaser | Work | //*------+------+---------+------* //| 97 | - | R0 CTRL | | //| 97 | 1000 | R0 DATA | | //| 97+E | 1000 | W0 DATA | | //| 97 | 1001 | R0 DATA | | //| 97+E | 1001 | W0 DATA | | //*------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X96:"xpc10:96" 1002 : major_start_pcl=98 edge_private_start/end=99/99 exec=98 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X96:"xpc10:96" //res2: Thread=xpc10 state=X96:"xpc10:96" //*------+------+---------+-------------------------------------------------* //| pc | eno | Phaser | Work | //*------+------+---------+-------------------------------------------------* //| 98 | - | R0 CTRL | | //| 98 | 1002 | R0 DATA | | //| 98+E | 1002 | W0 DATA | @_SINT/CC/MAPR10NoCE1_ARA0 te=te:98 write(0, 0) | //| 99 | 1002 | W1 DATA | | //*------+------+---------+-------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X97:"xpc10:97" 1003 : major_start_pcl=100 edge_private_start/end=-1/-1 exec=100 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X97:"xpc10:97" //res2: Thread=xpc10 state=X97:"xpc10:97" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 100 | - | R0 CTRL | | //| 100 | 1003 | R0 DATA | | //| 100+E | 1003 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X98:"xpc10:98" 1004 : major_start_pcl=101 edge_private_start/end=-1/-1 exec=101 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X98:"xpc10:98" //res2: Thread=xpc10 state=X98:"xpc10:98" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 101 | - | R0 CTRL | | //| 101 | 1004 | R0 DATA | | //| 101+E | 1004 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X99:"xpc10:99" 1006 : major_start_pcl=102 edge_private_start/end=-1/-1 exec=102 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X99:"xpc10:99" 1005 : major_start_pcl=102 edge_private_start/end=-1/-1 exec=102 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X99:"xpc10:99" //res2: Thread=xpc10 state=X99:"xpc10:99" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 102 | - | R0 CTRL | | //| 102 | 1005 | R0 DATA | | //| 102+E | 1005 | W0 DATA | | //| 102 | 1006 | R0 DATA | | //| 102+E | 1006 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X100:"xpc10:100" 1008 : major_start_pcl=103 edge_private_start/end=-1/-1 exec=103 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X100:"xpc10:100" 1007 : major_start_pcl=103 edge_private_start/end=-1/-1 exec=103 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X100:"xpc10:100" //res2: Thread=xpc10 state=X100:"xpc10:100" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 103 | - | R0 CTRL | | //| 103 | 1007 | R0 DATA | | //| 103+E | 1007 | W0 DATA | | //| 103 | 1008 | R0 DATA | | //| 103+E | 1008 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X101:"xpc10:101" 1009 : major_start_pcl=104 edge_private_start/end=105/105 exec=104 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X101:"xpc10:101" //res2: Thread=xpc10 state=X101:"xpc10:101" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 104 | - | R0 CTRL | | //| 104 | 1009 | R0 DATA | | //| 104+E | 1009 | W0 DATA | @_SINT/CC/MAPR10NoCE0_ARA0 te=te:104 write(0, 0) | //| 105 | 1009 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X102:"xpc10:102" 1010 : major_start_pcl=106 edge_private_start/end=-1/-1 exec=106 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X102:"xpc10:102" //res2: Thread=xpc10 state=X102:"xpc10:102" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 106 | - | R0 CTRL | | //| 106 | 1010 | R0 DATA | | //| 106+E | 1010 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X103:"xpc10:103" 1011 : major_start_pcl=107 edge_private_start/end=-1/-1 exec=107 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X103:"xpc10:103" //res2: Thread=xpc10 state=X103:"xpc10:103" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 107 | - | R0 CTRL | | //| 107 | 1011 | R0 DATA | | //| 107+E | 1011 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X104:"xpc10:104" 1012 : major_start_pcl=108 edge_private_start/end=-1/-1 exec=108 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X104:"xpc10:104" //res2: Thread=xpc10 state=X104:"xpc10:104" //*-------+------+---------+-----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------* //| 108 | - | R0 CTRL | | //| 108 | 1012 | R0 DATA | | //| 108+E | 1012 | W0 DATA | TCCl0.12_V_1 te=te:108 scalarw(1) | //*-------+------+---------+-----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X105:"xpc10:105" 1013 : major_start_pcl=109 edge_private_start/end=-1/-1 exec=109 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X105:"xpc10:105" //res2: Thread=xpc10 state=X105:"xpc10:105" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 109 | - | R0 CTRL | | //| 109 | 1013 | R0 DATA | | //| 109+E | 1013 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X106:"xpc10:106" 1015 : major_start_pcl=110 edge_private_start/end=-1/-1 exec=110 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X106:"xpc10:106" 1014 : major_start_pcl=110 edge_private_start/end=-1/-1 exec=110 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X106:"xpc10:106" //res2: Thread=xpc10 state=X106:"xpc10:106" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 110 | - | R0 CTRL | | //| 110 | 1014 | R0 DATA | | //| 110+E | 1014 | W0 DATA | | //| 110 | 1015 | R0 DATA | | //| 110+E | 1015 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X107:"xpc10:107" 1017 : major_start_pcl=111 edge_private_start/end=-1/-1 exec=111 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X107:"xpc10:107" 1016 : major_start_pcl=111 edge_private_start/end=-1/-1 exec=111 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X107:"xpc10:107" //res2: Thread=xpc10 state=X107:"xpc10:107" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 111 | - | R0 CTRL | | //| 111 | 1016 | R0 DATA | | //| 111+E | 1016 | W0 DATA | | //| 111 | 1017 | R0 DATA | | //| 111+E | 1017 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X108:"xpc10:108" 1018 : major_start_pcl=112 edge_private_start/end=113/113 exec=112 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X108:"xpc10:108" //res2: Thread=xpc10 state=X108:"xpc10:108" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 112 | - | R0 CTRL | | //| 112 | 1018 | R0 DATA | | //| 112+E | 1018 | W0 DATA | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:112 write(0, 0) | //| 113 | 1018 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X109:"xpc10:109" 1019 : major_start_pcl=114 edge_private_start/end=-1/-1 exec=114 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X109:"xpc10:109" //res2: Thread=xpc10 state=X109:"xpc10:109" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 114 | - | R0 CTRL | | //| 114 | 1019 | R0 DATA | | //| 114+E | 1019 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X110:"xpc10:110" 1020 : major_start_pcl=115 edge_private_start/end=-1/-1 exec=115 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X110:"xpc10:110" //res2: Thread=xpc10 state=X110:"xpc10:110" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 115 | - | R0 CTRL | | //| 115 | 1020 | R0 DATA | | //| 115+E | 1020 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X111:"xpc10:111" 1022 : major_start_pcl=116 edge_private_start/end=-1/-1 exec=116 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X111:"xpc10:111" 1021 : major_start_pcl=116 edge_private_start/end=-1/-1 exec=116 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X111:"xpc10:111" //res2: Thread=xpc10 state=X111:"xpc10:111" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 116 | - | R0 CTRL | | //| 116 | 1021 | R0 DATA | | //| 116+E | 1021 | W0 DATA | | //| 116 | 1022 | R0 DATA | | //| 116+E | 1022 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X112:"xpc10:112" 1024 : major_start_pcl=117 edge_private_start/end=-1/-1 exec=117 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X112:"xpc10:112" 1023 : major_start_pcl=117 edge_private_start/end=-1/-1 exec=117 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X112:"xpc10:112" //res2: Thread=xpc10 state=X112:"xpc10:112" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 117 | - | R0 CTRL | | //| 117 | 1023 | R0 DATA | | //| 117+E | 1023 | W0 DATA | | //| 117 | 1024 | R0 DATA | | //| 117+E | 1024 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X113:"xpc10:113" 1025 : major_start_pcl=118 edge_private_start/end=119/119 exec=118 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X113:"xpc10:113" //res2: Thread=xpc10 state=X113:"xpc10:113" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 118 | - | R0 CTRL | | //| 118 | 1025 | R0 DATA | | //| 118+E | 1025 | W0 DATA | @_SINT/CC/MAPR10NoCE2_ARA0 te=te:118 write(0, 0) | //| 119 | 1025 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X114:"xpc10:114" 1026 : major_start_pcl=120 edge_private_start/end=-1/-1 exec=120 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X114:"xpc10:114" //res2: Thread=xpc10 state=X114:"xpc10:114" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 120 | - | R0 CTRL | | //| 120 | 1026 | R0 DATA | | //| 120+E | 1026 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X115:"xpc10:115" 1027 : major_start_pcl=121 edge_private_start/end=-1/-1 exec=121 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X115:"xpc10:115" //res2: Thread=xpc10 state=X115:"xpc10:115" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 121 | - | R0 CTRL | | //| 121 | 1027 | R0 DATA | | //| 121+E | 1027 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X116:"xpc10:116" 1029 : major_start_pcl=122 edge_private_start/end=-1/-1 exec=122 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X116:"xpc10:116" 1028 : major_start_pcl=122 edge_private_start/end=-1/-1 exec=122 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X116:"xpc10:116" //res2: Thread=xpc10 state=X116:"xpc10:116" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 122 | - | R0 CTRL | | //| 122 | 1028 | R0 DATA | | //| 122+E | 1028 | W0 DATA | | //| 122 | 1029 | R0 DATA | | //| 122+E | 1029 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X117:"xpc10:117" 1031 : major_start_pcl=123 edge_private_start/end=-1/-1 exec=123 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X117:"xpc10:117" 1030 : major_start_pcl=123 edge_private_start/end=-1/-1 exec=123 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X117:"xpc10:117" //res2: Thread=xpc10 state=X117:"xpc10:117" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 123 | - | R0 CTRL | | //| 123 | 1030 | R0 DATA | | //| 123+E | 1030 | W0 DATA | | //| 123 | 1031 | R0 DATA | | //| 123+E | 1031 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X118:"xpc10:118" 1032 : major_start_pcl=124 edge_private_start/end=125/125 exec=124 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X118:"xpc10:118" //res2: Thread=xpc10 state=X118:"xpc10:118" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 124 | - | R0 CTRL | | //| 124 | 1032 | R0 DATA | | //| 124+E | 1032 | W0 DATA | @_SINT/CC/MAPR10NoCE1_ARA0 te=te:124 write(0, 0) | //| 125 | 1032 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X119:"xpc10:119" 1033 : major_start_pcl=126 edge_private_start/end=-1/-1 exec=126 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X119:"xpc10:119" //res2: Thread=xpc10 state=X119:"xpc10:119" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 126 | - | R0 CTRL | | //| 126 | 1033 | R0 DATA | | //| 126+E | 1033 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X120:"xpc10:120" 1034 : major_start_pcl=127 edge_private_start/end=-1/-1 exec=127 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X120:"xpc10:120" //res2: Thread=xpc10 state=X120:"xpc10:120" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 127 | - | R0 CTRL | | //| 127 | 1034 | R0 DATA | | //| 127+E | 1034 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X121:"xpc10:121" 1036 : major_start_pcl=128 edge_private_start/end=-1/-1 exec=128 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X121:"xpc10:121" 1035 : major_start_pcl=128 edge_private_start/end=-1/-1 exec=128 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X121:"xpc10:121" //res2: Thread=xpc10 state=X121:"xpc10:121" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 128 | - | R0 CTRL | | //| 128 | 1035 | R0 DATA | | //| 128+E | 1035 | W0 DATA | | //| 128 | 1036 | R0 DATA | | //| 128+E | 1036 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X122:"xpc10:122" 1038 : major_start_pcl=129 edge_private_start/end=-1/-1 exec=129 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X122:"xpc10:122" 1037 : major_start_pcl=129 edge_private_start/end=-1/-1 exec=129 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X122:"xpc10:122" //res2: Thread=xpc10 state=X122:"xpc10:122" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 129 | - | R0 CTRL | | //| 129 | 1037 | R0 DATA | | //| 129+E | 1037 | W0 DATA | | //| 129 | 1038 | R0 DATA | | //| 129+E | 1038 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X123:"xpc10:123" 1039 : major_start_pcl=130 edge_private_start/end=131/131 exec=130 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X123:"xpc10:123" //res2: Thread=xpc10 state=X123:"xpc10:123" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 130 | - | R0 CTRL | | //| 130 | 1039 | R0 DATA | | //| 130+E | 1039 | W0 DATA | @_SINT/CC/MAPR10NoCE0_ARA0 te=te:130 write(0, 0) | //| 131 | 1039 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X124:"xpc10:124" 1040 : major_start_pcl=132 edge_private_start/end=-1/-1 exec=132 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X124:"xpc10:124" //res2: Thread=xpc10 state=X124:"xpc10:124" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 132 | - | R0 CTRL | | //| 132 | 1040 | R0 DATA | | //| 132+E | 1040 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X125:"xpc10:125" 1041 : major_start_pcl=133 edge_private_start/end=-1/-1 exec=133 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X125:"xpc10:125" //res2: Thread=xpc10 state=X125:"xpc10:125" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 133 | - | R0 CTRL | | //| 133 | 1041 | R0 DATA | | //| 133+E | 1041 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X126:"xpc10:126" 1042 : major_start_pcl=134 edge_private_start/end=-1/-1 exec=134 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X126:"xpc10:126" //res2: Thread=xpc10 state=X126:"xpc10:126" //*-------+------+---------+-----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------* //| 134 | - | R0 CTRL | | //| 134 | 1042 | R0 DATA | | //| 134+E | 1042 | W0 DATA | TCCl0.12_V_1 te=te:134 scalarw(2) | //*-------+------+---------+-----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X127:"xpc10:127" 1043 : major_start_pcl=135 edge_private_start/end=-1/-1 exec=135 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X127:"xpc10:127" //res2: Thread=xpc10 state=X127:"xpc10:127" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 135 | - | R0 CTRL | | //| 135 | 1043 | R0 DATA | | //| 135+E | 1043 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X128:"xpc10:128" 1045 : major_start_pcl=136 edge_private_start/end=-1/-1 exec=136 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X128:"xpc10:128" 1044 : major_start_pcl=136 edge_private_start/end=-1/-1 exec=136 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X128:"xpc10:128" //res2: Thread=xpc10 state=X128:"xpc10:128" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 136 | - | R0 CTRL | | //| 136 | 1044 | R0 DATA | | //| 136+E | 1044 | W0 DATA | | //| 136 | 1045 | R0 DATA | | //| 136+E | 1045 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X129:"xpc10:129" 1047 : major_start_pcl=137 edge_private_start/end=-1/-1 exec=137 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X129:"xpc10:129" 1046 : major_start_pcl=137 edge_private_start/end=-1/-1 exec=137 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X129:"xpc10:129" //res2: Thread=xpc10 state=X129:"xpc10:129" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 137 | - | R0 CTRL | | //| 137 | 1046 | R0 DATA | | //| 137+E | 1046 | W0 DATA | | //| 137 | 1047 | R0 DATA | | //| 137+E | 1047 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X130:"xpc10:130" 1048 : major_start_pcl=138 edge_private_start/end=139/139 exec=138 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X130:"xpc10:130" //res2: Thread=xpc10 state=X130:"xpc10:130" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 138 | - | R0 CTRL | | //| 138 | 1048 | R0 DATA | | //| 138+E | 1048 | W0 DATA | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:138 write(0, 0) | //| 139 | 1048 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X131:"xpc10:131" 1049 : major_start_pcl=140 edge_private_start/end=-1/-1 exec=140 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X131:"xpc10:131" //res2: Thread=xpc10 state=X131:"xpc10:131" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 140 | - | R0 CTRL | | //| 140 | 1049 | R0 DATA | | //| 140+E | 1049 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X132:"xpc10:132" 1050 : major_start_pcl=141 edge_private_start/end=-1/-1 exec=141 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X132:"xpc10:132" //res2: Thread=xpc10 state=X132:"xpc10:132" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 141 | - | R0 CTRL | | //| 141 | 1050 | R0 DATA | | //| 141+E | 1050 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X133:"xpc10:133" 1052 : major_start_pcl=142 edge_private_start/end=-1/-1 exec=142 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X133:"xpc10:133" 1051 : major_start_pcl=142 edge_private_start/end=-1/-1 exec=142 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X133:"xpc10:133" //res2: Thread=xpc10 state=X133:"xpc10:133" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 142 | - | R0 CTRL | | //| 142 | 1051 | R0 DATA | | //| 142+E | 1051 | W0 DATA | | //| 142 | 1052 | R0 DATA | | //| 142+E | 1052 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X134:"xpc10:134" 1054 : major_start_pcl=143 edge_private_start/end=-1/-1 exec=143 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X134:"xpc10:134" 1053 : major_start_pcl=143 edge_private_start/end=-1/-1 exec=143 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X134:"xpc10:134" //res2: Thread=xpc10 state=X134:"xpc10:134" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 143 | - | R0 CTRL | | //| 143 | 1053 | R0 DATA | | //| 143+E | 1053 | W0 DATA | | //| 143 | 1054 | R0 DATA | | //| 143+E | 1054 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X135:"xpc10:135" 1055 : major_start_pcl=144 edge_private_start/end=145/145 exec=144 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X135:"xpc10:135" //res2: Thread=xpc10 state=X135:"xpc10:135" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 144 | - | R0 CTRL | | //| 144 | 1055 | R0 DATA | | //| 144+E | 1055 | W0 DATA | @_SINT/CC/MAPR10NoCE2_ARA0 te=te:144 write(0, 0) | //| 145 | 1055 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X136:"xpc10:136" 1056 : major_start_pcl=146 edge_private_start/end=-1/-1 exec=146 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X136:"xpc10:136" //res2: Thread=xpc10 state=X136:"xpc10:136" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 146 | - | R0 CTRL | | //| 146 | 1056 | R0 DATA | | //| 146+E | 1056 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X137:"xpc10:137" 1057 : major_start_pcl=147 edge_private_start/end=-1/-1 exec=147 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X137:"xpc10:137" //res2: Thread=xpc10 state=X137:"xpc10:137" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 147 | - | R0 CTRL | | //| 147 | 1057 | R0 DATA | | //| 147+E | 1057 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X138:"xpc10:138" 1059 : major_start_pcl=148 edge_private_start/end=-1/-1 exec=148 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X138:"xpc10:138" 1058 : major_start_pcl=148 edge_private_start/end=-1/-1 exec=148 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X138:"xpc10:138" //res2: Thread=xpc10 state=X138:"xpc10:138" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 148 | - | R0 CTRL | | //| 148 | 1058 | R0 DATA | | //| 148+E | 1058 | W0 DATA | | //| 148 | 1059 | R0 DATA | | //| 148+E | 1059 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X139:"xpc10:139" 1061 : major_start_pcl=149 edge_private_start/end=-1/-1 exec=149 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X139:"xpc10:139" 1060 : major_start_pcl=149 edge_private_start/end=-1/-1 exec=149 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X139:"xpc10:139" //res2: Thread=xpc10 state=X139:"xpc10:139" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 149 | - | R0 CTRL | | //| 149 | 1060 | R0 DATA | | //| 149+E | 1060 | W0 DATA | | //| 149 | 1061 | R0 DATA | | //| 149+E | 1061 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X140:"xpc10:140" 1062 : major_start_pcl=150 edge_private_start/end=151/151 exec=150 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X140:"xpc10:140" //res2: Thread=xpc10 state=X140:"xpc10:140" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 150 | - | R0 CTRL | | //| 150 | 1062 | R0 DATA | | //| 150+E | 1062 | W0 DATA | @_SINT/CC/MAPR10NoCE1_ARA0 te=te:150 write(0, 0) | //| 151 | 1062 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X141:"xpc10:141" 1063 : major_start_pcl=152 edge_private_start/end=-1/-1 exec=152 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X141:"xpc10:141" //res2: Thread=xpc10 state=X141:"xpc10:141" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 152 | - | R0 CTRL | | //| 152 | 1063 | R0 DATA | | //| 152+E | 1063 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X142:"xpc10:142" 1064 : major_start_pcl=153 edge_private_start/end=-1/-1 exec=153 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X142:"xpc10:142" //res2: Thread=xpc10 state=X142:"xpc10:142" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 153 | - | R0 CTRL | | //| 153 | 1064 | R0 DATA | | //| 153+E | 1064 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X143:"xpc10:143" 1066 : major_start_pcl=154 edge_private_start/end=-1/-1 exec=154 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X143:"xpc10:143" 1065 : major_start_pcl=154 edge_private_start/end=-1/-1 exec=154 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X143:"xpc10:143" //res2: Thread=xpc10 state=X143:"xpc10:143" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 154 | - | R0 CTRL | | //| 154 | 1065 | R0 DATA | | //| 154+E | 1065 | W0 DATA | | //| 154 | 1066 | R0 DATA | | //| 154+E | 1066 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X144:"xpc10:144" 1068 : major_start_pcl=155 edge_private_start/end=-1/-1 exec=155 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X144:"xpc10:144" 1067 : major_start_pcl=155 edge_private_start/end=-1/-1 exec=155 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X144:"xpc10:144" //res2: Thread=xpc10 state=X144:"xpc10:144" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 155 | - | R0 CTRL | | //| 155 | 1067 | R0 DATA | | //| 155+E | 1067 | W0 DATA | | //| 155 | 1068 | R0 DATA | | //| 155+E | 1068 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X145:"xpc10:145" 1069 : major_start_pcl=156 edge_private_start/end=157/157 exec=156 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X145:"xpc10:145" //res2: Thread=xpc10 state=X145:"xpc10:145" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 156 | - | R0 CTRL | | //| 156 | 1069 | R0 DATA | | //| 156+E | 1069 | W0 DATA | @_SINT/CC/MAPR10NoCE0_ARA0 te=te:156 write(0, 0) | //| 157 | 1069 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X146:"xpc10:146" 1070 : major_start_pcl=158 edge_private_start/end=-1/-1 exec=158 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X146:"xpc10:146" //res2: Thread=xpc10 state=X146:"xpc10:146" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 158 | - | R0 CTRL | | //| 158 | 1070 | R0 DATA | | //| 158+E | 1070 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X147:"xpc10:147" 1071 : major_start_pcl=159 edge_private_start/end=-1/-1 exec=159 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X147:"xpc10:147" //res2: Thread=xpc10 state=X147:"xpc10:147" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 159 | - | R0 CTRL | | //| 159 | 1071 | R0 DATA | | //| 159+E | 1071 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X148:"xpc10:148" 1072 : major_start_pcl=160 edge_private_start/end=-1/-1 exec=160 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X148:"xpc10:148" //res2: Thread=xpc10 state=X148:"xpc10:148" //*-------+------+---------+-----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------* //| 160 | - | R0 CTRL | | //| 160 | 1072 | R0 DATA | | //| 160+E | 1072 | W0 DATA | TCCl0.12_V_1 te=te:160 scalarw(3) | //*-------+------+---------+-----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X149:"xpc10:149" 1073 : major_start_pcl=161 edge_private_start/end=-1/-1 exec=161 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X149:"xpc10:149" //res2: Thread=xpc10 state=X149:"xpc10:149" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 161 | - | R0 CTRL | | //| 161 | 1073 | R0 DATA | | //| 161+E | 1073 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X150:"xpc10:150" 1075 : major_start_pcl=162 edge_private_start/end=-1/-1 exec=162 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X150:"xpc10:150" 1074 : major_start_pcl=162 edge_private_start/end=-1/-1 exec=162 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X150:"xpc10:150" //res2: Thread=xpc10 state=X150:"xpc10:150" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 162 | - | R0 CTRL | | //| 162 | 1074 | R0 DATA | | //| 162+E | 1074 | W0 DATA | | //| 162 | 1075 | R0 DATA | | //| 162+E | 1075 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X151:"xpc10:151" 1077 : major_start_pcl=163 edge_private_start/end=-1/-1 exec=163 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X151:"xpc10:151" 1076 : major_start_pcl=163 edge_private_start/end=-1/-1 exec=163 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X151:"xpc10:151" //res2: Thread=xpc10 state=X151:"xpc10:151" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 163 | - | R0 CTRL | | //| 163 | 1076 | R0 DATA | | //| 163+E | 1076 | W0 DATA | | //| 163 | 1077 | R0 DATA | | //| 163+E | 1077 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X152:"xpc10:152" 1078 : major_start_pcl=164 edge_private_start/end=165/165 exec=164 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X152:"xpc10:152" //res2: Thread=xpc10 state=X152:"xpc10:152" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 164 | - | R0 CTRL | | //| 164 | 1078 | R0 DATA | | //| 164+E | 1078 | W0 DATA | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:164 write(0, 0) | //| 165 | 1078 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X153:"xpc10:153" 1079 : major_start_pcl=166 edge_private_start/end=-1/-1 exec=166 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X153:"xpc10:153" //res2: Thread=xpc10 state=X153:"xpc10:153" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 166 | - | R0 CTRL | | //| 166 | 1079 | R0 DATA | | //| 166+E | 1079 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X154:"xpc10:154" 1080 : major_start_pcl=167 edge_private_start/end=-1/-1 exec=167 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X154:"xpc10:154" //res2: Thread=xpc10 state=X154:"xpc10:154" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 167 | - | R0 CTRL | | //| 167 | 1080 | R0 DATA | | //| 167+E | 1080 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X155:"xpc10:155" 1082 : major_start_pcl=168 edge_private_start/end=-1/-1 exec=168 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X155:"xpc10:155" 1081 : major_start_pcl=168 edge_private_start/end=-1/-1 exec=168 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X155:"xpc10:155" //res2: Thread=xpc10 state=X155:"xpc10:155" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 168 | - | R0 CTRL | | //| 168 | 1081 | R0 DATA | | //| 168+E | 1081 | W0 DATA | | //| 168 | 1082 | R0 DATA | | //| 168+E | 1082 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X156:"xpc10:156" 1084 : major_start_pcl=169 edge_private_start/end=-1/-1 exec=169 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X156:"xpc10:156" 1083 : major_start_pcl=169 edge_private_start/end=-1/-1 exec=169 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X156:"xpc10:156" //res2: Thread=xpc10 state=X156:"xpc10:156" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 169 | - | R0 CTRL | | //| 169 | 1083 | R0 DATA | | //| 169+E | 1083 | W0 DATA | | //| 169 | 1084 | R0 DATA | | //| 169+E | 1084 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X157:"xpc10:157" 1085 : major_start_pcl=170 edge_private_start/end=171/171 exec=170 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X157:"xpc10:157" //res2: Thread=xpc10 state=X157:"xpc10:157" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 170 | - | R0 CTRL | | //| 170 | 1085 | R0 DATA | | //| 170+E | 1085 | W0 DATA | @_SINT/CC/MAPR10NoCE2_ARA0 te=te:170 write(0, 0) | //| 171 | 1085 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X158:"xpc10:158" 1086 : major_start_pcl=172 edge_private_start/end=-1/-1 exec=172 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X158:"xpc10:158" //res2: Thread=xpc10 state=X158:"xpc10:158" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 172 | - | R0 CTRL | | //| 172 | 1086 | R0 DATA | | //| 172+E | 1086 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X159:"xpc10:159" 1087 : major_start_pcl=173 edge_private_start/end=-1/-1 exec=173 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X159:"xpc10:159" //res2: Thread=xpc10 state=X159:"xpc10:159" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 173 | - | R0 CTRL | | //| 173 | 1087 | R0 DATA | | //| 173+E | 1087 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X160:"xpc10:160" 1089 : major_start_pcl=174 edge_private_start/end=-1/-1 exec=174 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X160:"xpc10:160" 1088 : major_start_pcl=174 edge_private_start/end=-1/-1 exec=174 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X160:"xpc10:160" //res2: Thread=xpc10 state=X160:"xpc10:160" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 174 | - | R0 CTRL | | //| 174 | 1088 | R0 DATA | | //| 174+E | 1088 | W0 DATA | | //| 174 | 1089 | R0 DATA | | //| 174+E | 1089 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X161:"xpc10:161" 1091 : major_start_pcl=175 edge_private_start/end=-1/-1 exec=175 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X161:"xpc10:161" 1090 : major_start_pcl=175 edge_private_start/end=-1/-1 exec=175 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X161:"xpc10:161" //res2: Thread=xpc10 state=X161:"xpc10:161" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 175 | - | R0 CTRL | | //| 175 | 1090 | R0 DATA | | //| 175+E | 1090 | W0 DATA | | //| 175 | 1091 | R0 DATA | | //| 175+E | 1091 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X162:"xpc10:162" 1092 : major_start_pcl=176 edge_private_start/end=177/177 exec=176 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X162:"xpc10:162" //res2: Thread=xpc10 state=X162:"xpc10:162" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 176 | - | R0 CTRL | | //| 176 | 1092 | R0 DATA | | //| 176+E | 1092 | W0 DATA | @_SINT/CC/MAPR10NoCE1_ARA0 te=te:176 write(0, 0) | //| 177 | 1092 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X163:"xpc10:163" 1093 : major_start_pcl=178 edge_private_start/end=-1/-1 exec=178 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X163:"xpc10:163" //res2: Thread=xpc10 state=X163:"xpc10:163" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 178 | - | R0 CTRL | | //| 178 | 1093 | R0 DATA | | //| 178+E | 1093 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X164:"xpc10:164" 1094 : major_start_pcl=179 edge_private_start/end=-1/-1 exec=179 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X164:"xpc10:164" //res2: Thread=xpc10 state=X164:"xpc10:164" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 179 | - | R0 CTRL | | //| 179 | 1094 | R0 DATA | | //| 179+E | 1094 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X165:"xpc10:165" 1096 : major_start_pcl=180 edge_private_start/end=-1/-1 exec=180 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X165:"xpc10:165" 1095 : major_start_pcl=180 edge_private_start/end=-1/-1 exec=180 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X165:"xpc10:165" //res2: Thread=xpc10 state=X165:"xpc10:165" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 180 | - | R0 CTRL | | //| 180 | 1095 | R0 DATA | | //| 180+E | 1095 | W0 DATA | | //| 180 | 1096 | R0 DATA | | //| 180+E | 1096 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X166:"xpc10:166" 1098 : major_start_pcl=181 edge_private_start/end=-1/-1 exec=181 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X166:"xpc10:166" 1097 : major_start_pcl=181 edge_private_start/end=-1/-1 exec=181 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X166:"xpc10:166" //res2: Thread=xpc10 state=X166:"xpc10:166" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 181 | - | R0 CTRL | | //| 181 | 1097 | R0 DATA | | //| 181+E | 1097 | W0 DATA | | //| 181 | 1098 | R0 DATA | | //| 181+E | 1098 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X167:"xpc10:167" 1099 : major_start_pcl=182 edge_private_start/end=183/183 exec=182 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X167:"xpc10:167" //res2: Thread=xpc10 state=X167:"xpc10:167" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 182 | - | R0 CTRL | | //| 182 | 1099 | R0 DATA | | //| 182+E | 1099 | W0 DATA | @_SINT/CC/MAPR10NoCE0_ARA0 te=te:182 write(0, 0) | //| 183 | 1099 | W1 DATA | | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X168:"xpc10:168" 1100 : major_start_pcl=184 edge_private_start/end=-1/-1 exec=184 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X168:"xpc10:168" //res2: Thread=xpc10 state=X168:"xpc10:168" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 184 | - | R0 CTRL | | //| 184 | 1100 | R0 DATA | | //| 184+E | 1100 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X169:"xpc10:169" 1101 : major_start_pcl=185 edge_private_start/end=-1/-1 exec=185 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X169:"xpc10:169" //res2: Thread=xpc10 state=X169:"xpc10:169" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 185 | - | R0 CTRL | | //| 185 | 1101 | R0 DATA | | //| 185+E | 1101 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X170:"xpc10:170" 1102 : major_start_pcl=186 edge_private_start/end=-1/-1 exec=186 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X170:"xpc10:170" //res2: Thread=xpc10 state=X170:"xpc10:170" //*-------+------+---------+-----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------* //| 186 | - | R0 CTRL | | //| 186 | 1102 | R0 DATA | | //| 186+E | 1102 | W0 DATA | TCCl0.12_V_1 te=te:186 scalarw(4) | //*-------+------+---------+-----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X171:"xpc10:171" 1103 : major_start_pcl=187 edge_private_start/end=-1/-1 exec=187 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X171:"xpc10:171" //res2: Thread=xpc10 state=X171:"xpc10:171" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 187 | - | R0 CTRL | | //| 187 | 1103 | R0 DATA | | //| 187+E | 1103 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X172:"xpc10:172" 1104 : major_start_pcl=188 edge_private_start/end=-1/-1 exec=188 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X172:"xpc10:172" //res2: Thread=xpc10 state=X172:"xpc10:172" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 188 | - | R0 CTRL | | //| 188 | 1104 | R0 DATA | | //| 188+E | 1104 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X173:"xpc10:173" 1105 : major_start_pcl=189 edge_private_start/end=-1/-1 exec=189 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X173:"xpc10:173" //res2: Thread=xpc10 state=X173:"xpc10:173" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 189 | - | R0 CTRL | | //| 189 | 1105 | R0 DATA | | //| 189+E | 1105 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X174:"xpc10:174" 1106 : major_start_pcl=190 edge_private_start/end=-1/-1 exec=190 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X174:"xpc10:174" //res2: Thread=xpc10 state=X174:"xpc10:174" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 190 | - | R0 CTRL | | //| 190 | 1106 | R0 DATA | | //| 190+E | 1106 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X175:"xpc10:175" 1107 : major_start_pcl=191 edge_private_start/end=-1/-1 exec=191 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X175:"xpc10:175" //res2: Thread=xpc10 state=X175:"xpc10:175" //*-------+------+---------+------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------------------* //| 191 | - | R0 CTRL | | //| 191 | 1107 | R0 DATA | | //| 191+E | 1107 | W0 DATA | TCCl0.12_V_0 te=te:191 scalarw(1+TCCl0.12_V_0) | //*-------+------+---------+------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X176:"xpc10:176" 1108 : major_start_pcl=192 edge_private_start/end=-1/-1 exec=192 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X176:"xpc10:176" //res2: Thread=xpc10 state=X176:"xpc10:176" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 192 | - | R0 CTRL | | //| 192 | 1108 | R0 DATA | | //| 192+E | 1108 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X177:"xpc10:177" 1109 : major_start_pcl=193 edge_private_start/end=-1/-1 exec=193 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X177:"xpc10:177" //res2: Thread=xpc10 state=X177:"xpc10:177" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 193 | - | R0 CTRL | | //| 193 | 1109 | R0 DATA | | //| 193+E | 1109 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X178:"xpc10:178" 1110 : major_start_pcl=194 edge_private_start/end=-1/-1 exec=194 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X178:"xpc10:178" //res2: Thread=xpc10 state=X178:"xpc10:178" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 194 | - | R0 CTRL | | //| 194 | 1110 | R0 DATA | | //| 194+E | 1110 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X179:"xpc10:179" 1112 : major_start_pcl=195 edge_private_start/end=-1/-1 exec=195 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X179:"xpc10:179" 1111 : major_start_pcl=195 edge_private_start/end=-1/-1 exec=195 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X179:"xpc10:179" //res2: Thread=xpc10 state=X179:"xpc10:179" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 195 | - | R0 CTRL | | //| 195 | 1111 | R0 DATA | | //| 195+E | 1111 | W0 DATA | | //| 195 | 1112 | R0 DATA | | //| 195+E | 1112 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X180:"xpc10:180" 1113 : major_start_pcl=196 edge_private_start/end=-1/-1 exec=196 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X180:"xpc10:180" //res2: Thread=xpc10 state=X180:"xpc10:180" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 196 | - | R0 CTRL | | //| 196 | 1113 | R0 DATA | | //| 196+E | 1113 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X181:"xpc10:181" 1114 : major_start_pcl=197 edge_private_start/end=-1/-1 exec=197 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X181:"xpc10:181" //res2: Thread=xpc10 state=X181:"xpc10:181" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 197 | - | R0 CTRL | | //| 197 | 1114 | R0 DATA | | //| 197+E | 1114 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X182:"xpc10:182" 1115 : major_start_pcl=198 edge_private_start/end=-1/-1 exec=198 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X182:"xpc10:182" //res2: Thread=xpc10 state=X182:"xpc10:182" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 198 | - | R0 CTRL | | //| 198 | 1115 | R0 DATA | | //| 198+E | 1115 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X183:"xpc10:183" 1116 : major_start_pcl=199 edge_private_start/end=-1/-1 exec=199 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X183:"xpc10:183" //res2: Thread=xpc10 state=X183:"xpc10:183" //*-------+------+---------+---------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------* //| 199 | - | R0 CTRL | | //| 199 | 1116 | R0 DATA | | //| 199+E | 1116 | W0 DATA | PLI:Cuckoo cache cleared | //*-------+------+---------+---------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X184:"xpc10:184" 1117 : major_start_pcl=200 edge_private_start/end=-1/-1 exec=200 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X184:"xpc10:184" //res2: Thread=xpc10 state=X184:"xpc10:184" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 200 | - | R0 CTRL | | //| 200 | 1117 | R0 DATA | | //| 200+E | 1117 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X185:"xpc10:185" 1118 : major_start_pcl=201 edge_private_start/end=-1/-1 exec=201 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X185:"xpc10:185" //res2: Thread=xpc10 state=X185:"xpc10:185" //*-------+------+---------+-------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------* //| 201 | - | R0 CTRL | | //| 201 | 1118 | R0 DATA | | //| 201+E | 1118 | W0 DATA | W/P:Cach Cleared | //*-------+------+---------+-------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X186:"xpc10:186" 1119 : major_start_pcl=202 edge_private_start/end=-1/-1 exec=202 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X186:"xpc10:186" //res2: Thread=xpc10 state=X186:"xpc10:186" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 202 | - | R0 CTRL | | //| 202 | 1119 | R0 DATA | | //| 202+E | 1119 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X187:"xpc10:187" 1120 : major_start_pcl=203 edge_private_start/end=-1/-1 exec=203 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X187:"xpc10:187" //res2: Thread=xpc10 state=X187:"xpc10:187" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 203 | - | R0 CTRL | | //| 203 | 1120 | R0 DATA | | //| 203+E | 1120 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X188:"xpc10:188" 1121 : major_start_pcl=204 edge_private_start/end=-1/-1 exec=204 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X188:"xpc10:188" //res2: Thread=xpc10 state=X188:"xpc10:188" //*-------+------+---------+-------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------* //| 204 | - | R0 CTRL | | //| 204 | 1121 | R0 DATA | | //| 204+E | 1121 | W0 DATA | @_SINT/CC/SCALbx28_seed te=te:204 scalarw(S32'123456) | //*-------+------+---------+-------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X189:"xpc10:189" 1122 : major_start_pcl=205 edge_private_start/end=-1/-1 exec=205 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X189:"xpc10:189" //res2: Thread=xpc10 state=X189:"xpc10:189" //*-------+------+---------+---------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------------------------------* //| 205 | - | R0 CTRL | | //| 205 | 1122 | R0 DATA | | //| 205+E | 1122 | W0 DATA | @64_US/CC/SCALbx28_dk te=te:205 scalarw(U64'9999999900000000) | //*-------+------+---------+---------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X190:"xpc10:190" 1123 : major_start_pcl=206 edge_private_start/end=-1/-1 exec=206 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X190:"xpc10:190" //res2: Thread=xpc10 state=X190:"xpc10:190" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 206 | - | R0 CTRL | | //| 206 | 1123 | R0 DATA | | //| 206+E | 1123 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X191:"xpc10:191" 1124 : major_start_pcl=207 edge_private_start/end=-1/-1 exec=207 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X191:"xpc10:191" //res2: Thread=xpc10 state=X191:"xpc10:191" //*-------+------+---------+-------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------* //| 207 | - | R0 CTRL | | //| 207 | 1124 | R0 DATA | | //| 207+E | 1124 | W0 DATA | @_SINT/CC/SCALbx28_seed te=te:207 scalarw(S32'123456) | //*-------+------+---------+-------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X192:"xpc10:192" 1125 : major_start_pcl=208 edge_private_start/end=-1/-1 exec=208 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X192:"xpc10:192" //res2: Thread=xpc10 state=X192:"xpc10:192" //*-------+------+---------+---------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------------------------------* //| 208 | - | R0 CTRL | | //| 208 | 1125 | R0 DATA | | //| 208+E | 1125 | W0 DATA | @64_US/CC/SCALbx28_dk te=te:208 scalarw(U64'9999999900000000) | //*-------+------+---------+---------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X193:"xpc10:193" 1126 : major_start_pcl=209 edge_private_start/end=-1/-1 exec=209 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X193:"xpc10:193" //res2: Thread=xpc10 state=X193:"xpc10:193" //*-------+------+---------+------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------* //| 209 | - | R0 CTRL | | //| 209 | 1126 | R0 DATA | | //| 209+E | 1126 | W0 DATA | TTMT4Main_V_2 te=te:209 scalarw(0) | //*-------+------+---------+------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X194:"xpc10:194" 1127 : major_start_pcl=210 edge_private_start/end=-1/-1 exec=210 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X194:"xpc10:194" //res2: Thread=xpc10 state=X194:"xpc10:194" //*-------+------+---------+------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------* //| 210 | - | R0 CTRL | | //| 210 | 1127 | R0 DATA | | //| 210+E | 1127 | W0 DATA | TTMT4Main_V_3 te=te:210 scalarw(0) | //*-------+------+---------+------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X195:"xpc10:195" 1128 : major_start_pcl=211 edge_private_start/end=-1/-1 exec=211 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X195:"xpc10:195" //res2: Thread=xpc10 state=X195:"xpc10:195" //*-------+------+---------+------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------* //| 211 | - | R0 CTRL | | //| 211 | 1128 | R0 DATA | | //| 211+E | 1128 | W0 DATA | TTMT4Main_V_4 te=te:211 scalarw(0) | //*-------+------+---------+------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X196:"xpc10:196" 1129 : major_start_pcl=212 edge_private_start/end=-1/-1 exec=212 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X196:"xpc10:196" //res2: Thread=xpc10 state=X196:"xpc10:196" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 212 | - | R0 CTRL | | //| 212 | 1129 | R0 DATA | | //| 212+E | 1129 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X197:"xpc10:197" 1130 : major_start_pcl=213 edge_private_start/end=-1/-1 exec=213 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X197:"xpc10:197" //res2: Thread=xpc10 state=X197:"xpc10:197" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 213 | - | R0 CTRL | | //| 213 | 1130 | R0 DATA | | //| 213+E | 1130 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X198:"xpc10:198" 1131 : major_start_pcl=214 edge_private_start/end=-1/-1 exec=214 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X198:"xpc10:198" //res2: Thread=xpc10 state=X198:"xpc10:198" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 214 | - | R0 CTRL | | //| 214 | 1131 | R0 DATA | | //| 214+E | 1131 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X199:"xpc10:199" 1133 : major_start_pcl=215 edge_private_start/end=-1/-1 exec=215 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X199:"xpc10:199" 1132 : major_start_pcl=215 edge_private_start/end=-1/-1 exec=215 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X199:"xpc10:199" //res2: Thread=xpc10 state=X199:"xpc10:199" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 215 | - | R0 CTRL | | //| 215 | 1132 | R0 DATA | | //| 215+E | 1132 | W0 DATA | | //| 215 | 1133 | R0 DATA | | //| 215+E | 1133 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X200:"xpc10:200" 1134 : major_start_pcl=216 edge_private_start/end=-1/-1 exec=216 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X200:"xpc10:200" //res2: Thread=xpc10 state=X200:"xpc10:200" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 216 | - | R0 CTRL | | //| 216 | 1134 | R0 DATA | | //| 216+E | 1134 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X201:"xpc10:201" 1135 : major_start_pcl=217 edge_private_start/end=-1/-1 exec=217 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X201:"xpc10:201" //res2: Thread=xpc10 state=X201:"xpc10:201" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 217 | - | R0 CTRL | | //| 217 | 1135 | R0 DATA | | //| 217+E | 1135 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X202:"xpc10:202" 1136 : major_start_pcl=218 edge_private_start/end=-1/-1 exec=218 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X202:"xpc10:202" //res2: Thread=xpc10 state=X202:"xpc10:202" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 218 | - | R0 CTRL | | //| 218 | 1136 | R0 DATA | | //| 218+E | 1136 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X203:"xpc10:203" 1137 : major_start_pcl=219 edge_private_start/end=-1/-1 exec=219 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X203:"xpc10:203" //res2: Thread=xpc10 state=X203:"xpc10:203" //*-------+------+---------+------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------* //| 219 | - | R0 CTRL | | //| 219 | 1137 | R0 DATA | | //| 219+E | 1137 | W0 DATA | PLI:Cuckoo cache inserte... | //*-------+------+---------+------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X204:"xpc10:204" 1138 : major_start_pcl=220 edge_private_start/end=-1/-1 exec=220 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X204:"xpc10:204" //res2: Thread=xpc10 state=X204:"xpc10:204" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 220 | - | R0 CTRL | | //| 220 | 1138 | R0 DATA | | //| 220+E | 1138 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X205:"xpc10:205" 1139 : major_start_pcl=221 edge_private_start/end=-1/-1 exec=221 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X205:"xpc10:205" //res2: Thread=xpc10 state=X205:"xpc10:205" //*-------+------+---------+-------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------* //| 221 | - | R0 CTRL | | //| 221 | 1139 | R0 DATA | | //| 221+E | 1139 | W0 DATA | W/P:Data Entered | //*-------+------+---------+-------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X206:"xpc10:206" 1140 : major_start_pcl=222 edge_private_start/end=-1/-1 exec=222 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X206:"xpc10:206" //res2: Thread=xpc10 state=X206:"xpc10:206" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 222 | - | R0 CTRL | | //| 222 | 1140 | R0 DATA | | //| 222+E | 1140 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X207:"xpc10:207" 1141 : major_start_pcl=223 edge_private_start/end=-1/-1 exec=223 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X207:"xpc10:207" //res2: Thread=xpc10 state=X207:"xpc10:207" //*-------+------+---------+-------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------* //| 223 | - | R0 CTRL | | //| 223 | 1141 | R0 DATA | | //| 223+E | 1141 | W0 DATA | @_SINT/CC/SCALbx28_seed te=te:223 scalarw(S32'123456) | //*-------+------+---------+-------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X208:"xpc10:208" 1142 : major_start_pcl=224 edge_private_start/end=-1/-1 exec=224 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X208:"xpc10:208" //res2: Thread=xpc10 state=X208:"xpc10:208" //*-------+------+---------+---------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------------------------------* //| 224 | - | R0 CTRL | | //| 224 | 1142 | R0 DATA | | //| 224+E | 1142 | W0 DATA | @64_US/CC/SCALbx28_dk te=te:224 scalarw(U64'9999999900000000) | //*-------+------+---------+---------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X209:"xpc10:209" 1143 : major_start_pcl=225 edge_private_start/end=-1/-1 exec=225 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X209:"xpc10:209" //res2: Thread=xpc10 state=X209:"xpc10:209" //*-------+------+---------+------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------* //| 225 | - | R0 CTRL | | //| 225 | 1143 | R0 DATA | | //| 225+E | 1143 | W0 DATA | TTMT4Main_V_8 te=te:225 scalarw(0) | //*-------+------+---------+------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X210:"xpc10:210" 1144 : major_start_pcl=226 edge_private_start/end=-1/-1 exec=226 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X210:"xpc10:210" //res2: Thread=xpc10 state=X210:"xpc10:210" //*-------+------+---------+------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------* //| 226 | - | R0 CTRL | | //| 226 | 1144 | R0 DATA | | //| 226+E | 1144 | W0 DATA | TTMT4Main_V_9 te=te:226 scalarw(0) | //*-------+------+---------+------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X211:"xpc10:211" 1145 : major_start_pcl=227 edge_private_start/end=-1/-1 exec=227 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X211:"xpc10:211" //res2: Thread=xpc10 state=X211:"xpc10:211" //*-------+------+---------+-------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------* //| 227 | - | R0 CTRL | | //| 227 | 1145 | R0 DATA | | //| 227+E | 1145 | W0 DATA | TTMT4Main_V_10 te=te:227 scalarw(0) | //*-------+------+---------+-------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X212:"xpc10:212" 1146 : major_start_pcl=228 edge_private_start/end=-1/-1 exec=228 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X212:"xpc10:212" //res2: Thread=xpc10 state=X212:"xpc10:212" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 228 | - | R0 CTRL | | //| 228 | 1146 | R0 DATA | | //| 228+E | 1146 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X213:"xpc10:213" 1147 : major_start_pcl=229 edge_private_start/end=-1/-1 exec=229 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X213:"xpc10:213" //res2: Thread=xpc10 state=X213:"xpc10:213" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 229 | - | R0 CTRL | | //| 229 | 1147 | R0 DATA | | //| 229+E | 1147 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X214:"xpc10:214" 1148 : major_start_pcl=230 edge_private_start/end=-1/-1 exec=230 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X214:"xpc10:214" //res2: Thread=xpc10 state=X214:"xpc10:214" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 230 | - | R0 CTRL | | //| 230 | 1148 | R0 DATA | | //| 230+E | 1148 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X215:"xpc10:215" 1150 : major_start_pcl=231 edge_private_start/end=-1/-1 exec=231 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X215:"xpc10:215" 1149 : major_start_pcl=231 edge_private_start/end=-1/-1 exec=231 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X215:"xpc10:215" //res2: Thread=xpc10 state=X215:"xpc10:215" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 231 | - | R0 CTRL | | //| 231 | 1149 | R0 DATA | | //| 231+E | 1149 | W0 DATA | | //| 231 | 1150 | R0 DATA | | //| 231+E | 1150 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X216:"xpc10:216" 1151 : major_start_pcl=232 edge_private_start/end=-1/-1 exec=232 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X216:"xpc10:216" //res2: Thread=xpc10 state=X216:"xpc10:216" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 232 | - | R0 CTRL | | //| 232 | 1151 | R0 DATA | | //| 232+E | 1151 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X217:"xpc10:217" 1152 : major_start_pcl=233 edge_private_start/end=-1/-1 exec=233 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X217:"xpc10:217" //res2: Thread=xpc10 state=X217:"xpc10:217" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 233 | - | R0 CTRL | | //| 233 | 1152 | R0 DATA | | //| 233+E | 1152 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X218:"xpc10:218" 1153 : major_start_pcl=234 edge_private_start/end=-1/-1 exec=234 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X218:"xpc10:218" //res2: Thread=xpc10 state=X218:"xpc10:218" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 234 | - | R0 CTRL | | //| 234 | 1153 | R0 DATA | | //| 234+E | 1153 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X219:"xpc10:219" 1154 : major_start_pcl=235 edge_private_start/end=-1/-1 exec=235 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X219:"xpc10:219" //res2: Thread=xpc10 state=X219:"xpc10:219" //*-------+------+---------+------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------* //| 235 | - | R0 CTRL | | //| 235 | 1154 | R0 DATA | | //| 235+E | 1154 | W0 DATA | PLI:Cuckoo cache inserte... | //*-------+------+---------+------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X220:"xpc10:220" 1155 : major_start_pcl=236 edge_private_start/end=-1/-1 exec=236 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X220:"xpc10:220" //res2: Thread=xpc10 state=X220:"xpc10:220" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 236 | - | R0 CTRL | | //| 236 | 1155 | R0 DATA | | //| 236+E | 1155 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X221:"xpc10:221" 1156 : major_start_pcl=237 edge_private_start/end=-1/-1 exec=237 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X221:"xpc10:221" //res2: Thread=xpc10 state=X221:"xpc10:221" //*-------+------+---------+--------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------* //| 237 | - | R0 CTRL | | //| 237 | 1156 | R0 DATA | | //| 237+E | 1156 | W0 DATA | W/P:Readback Done | //*-------+------+---------+--------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X222:"xpc10:222" 1157 : major_start_pcl=238 edge_private_start/end=-1/-1 exec=238 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X222:"xpc10:222" //res2: Thread=xpc10 state=X222:"xpc10:222" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 238 | - | R0 CTRL | | //| 238 | 1157 | R0 DATA | | //| 238+E | 1157 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X223:"xpc10:223" 1158 : major_start_pcl=239 edge_private_start/end=-1/-1 exec=239 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X223:"xpc10:223" //res2: Thread=xpc10 state=X223:"xpc10:223" //*-------+------+---------+------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------* //| 239 | - | R0 CTRL | | //| 239 | 1158 | R0 DATA | | //| 239+E | 1158 | W0 DATA | PLI:cuckoo cache: this=%... | //*-------+------+---------+------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X224:"xpc10:224" 1159 : major_start_pcl=240 edge_private_start/end=-1/-1 exec=240 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X224:"xpc10:224" //res2: Thread=xpc10 state=X224:"xpc10:224" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 240 | - | R0 CTRL | | //| 240 | 1159 | R0 DATA | | //| 240+E | 1159 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X225:"xpc10:225" 1160 : major_start_pcl=241 edge_private_start/end=-1/-1 exec=241 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X225:"xpc10:225" //res2: Thread=xpc10 state=X225:"xpc10:225" //*-------+------+---------+------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------* //| 241 | - | R0 CTRL | | //| 241 | 1160 | R0 DATA | | //| 241+E | 1160 | W0 DATA | PLI:cuckoo cache: insert... | //*-------+------+---------+------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X226:"xpc10:226" 1161 : major_start_pcl=242 edge_private_start/end=-1/-1 exec=242 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X226:"xpc10:226" //res2: Thread=xpc10 state=X226:"xpc10:226" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 242 | - | R0 CTRL | | //| 242 | 1161 | R0 DATA | | //| 242+E | 1161 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X227:"xpc10:227" 1162 : major_start_pcl=243 edge_private_start/end=-1/-1 exec=243 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X227:"xpc10:227" //res2: Thread=xpc10 state=X227:"xpc10:227" //*-------+------+---------+------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------* //| 243 | - | R0 CTRL | | //| 243 | 1162 | R0 DATA | | //| 243+E | 1162 | W0 DATA | PLI:cuckoo cache: lookup... | //*-------+------+---------+------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X228:"xpc10:228" 1163 : major_start_pcl=244 edge_private_start/end=-1/-1 exec=244 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X228:"xpc10:228" //res2: Thread=xpc10 state=X228:"xpc10:228" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 244 | - | R0 CTRL | | //| 244 | 1163 | R0 DATA | | //| 244+E | 1163 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X229:"xpc10:229" 1164 : major_start_pcl=245 edge_private_start/end=-1/-1 exec=245 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X229:"xpc10:229" //res2: Thread=xpc10 state=X229:"xpc10:229" //*-------+------+---------+------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------* //| 245 | - | R0 CTRL | | //| 245 | 1164 | R0 DATA | | //| 245+E | 1164 | W0 DATA | PLI:Cuckoo cache demo fi... | //*-------+------+---------+------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X230:"xpc10:230" 1165 : major_start_pcl=246 edge_private_start/end=-1/-1 exec=246 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X230:"xpc10:230" //res2: Thread=xpc10 state=X230:"xpc10:230" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 246 | - | R0 CTRL | | //| 246 | 1165 | R0 DATA | | //| 246+E | 1165 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X231:"xpc10:231" 1166 : major_start_pcl=247 edge_private_start/end=-1/-1 exec=247 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X231:"xpc10:231" //res2: Thread=xpc10 state=X231:"xpc10:231" //*-------+------+---------+-----------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------* //| 247 | - | R0 CTRL | | //| 247 | 1166 | R0 DATA | | //| 247+E | 1166 | W0 DATA | PLI:GSAI:hpr_sysexit | //*-------+------+---------+-----------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X232:"xpc10:232" 1167 : major_start_pcl=248 edge_private_start/end=-1/-1 exec=248 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X232:"xpc10:232" //res2: Thread=xpc10 state=X232:"xpc10:232" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 248 | - | R0 CTRL | | //| 248 | 1167 | R0 DATA | | //| 248+E | 1167 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X233:"xpc10:233" 1168 : major_start_pcl=249 edge_private_start/end=-1/-1 exec=249 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X233:"xpc10:233" //res2: Thread=xpc10 state=X233:"xpc10:233" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 249 | - | R0 CTRL | | //| 249 | 1168 | R0 DATA | | //| 249+E | 1168 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X234:"xpc10:234" 1169 : major_start_pcl=250 edge_private_start/end=-1/-1 exec=250 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X234:"xpc10:234" //res2: Thread=xpc10 state=X234:"xpc10:234" //*-------+------+---------+-----------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------* //| 250 | - | R0 CTRL | | //| 250 | 1169 | R0 DATA | | //| 250+E | 1169 | W0 DATA | @_SINT/CC/SCALbx28_seed te=te:250 scalarw(E1) | //*-------+------+---------+-----------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X235:"xpc10:235" 1170 : major_start_pcl=251 edge_private_start/end=-1/-1 exec=251 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X235:"xpc10:235" //res2: Thread=xpc10 state=X235:"xpc10:235" //*-------+------+---------+--------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------* //| 251 | - | R0 CTRL | | //| 251 | 1170 | R0 DATA | | //| 251+E | 1170 | W0 DATA | TTMT4Main_V_11 te=te:251 scalarw(E2) | //*-------+------+---------+--------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X236:"xpc10:236" 1171 : major_start_pcl=252 edge_private_start/end=-1/-1 exec=252 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X236:"xpc10:236" //res2: Thread=xpc10 state=X236:"xpc10:236" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 252 | - | R0 CTRL | | //| 252 | 1171 | R0 DATA | | //| 252+E | 1171 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X237:"xpc10:237" 1172 : major_start_pcl=253 edge_private_start/end=-1/-1 exec=253 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X237:"xpc10:237" //res2: Thread=xpc10 state=X237:"xpc10:237" //*-------+------+---------+--------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------* //| 253 | - | R0 CTRL | | //| 253 | 1172 | R0 DATA | | //| 253+E | 1172 | W0 DATA | fastspilldup30 te=te:253 scalarw(E3) | //*-------+------+---------+--------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X238:"xpc10:238" 1173 : major_start_pcl=254 edge_private_start/end=-1/-1 exec=254 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X238:"xpc10:238" //res2: Thread=xpc10 state=X238:"xpc10:238" //*-------+------+---------+-----------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------------* //| 254 | - | R0 CTRL | | //| 254 | 1173 | R0 DATA | | //| 254+E | 1173 | W0 DATA | TDGe6.4_V_0 te=te:254 scalarw(C64u(fastspilldup30)) | //*-------+------+---------+-----------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X239:"xpc10:239" 1174 : major_start_pcl=255 edge_private_start/end=-1/-1 exec=255 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X239:"xpc10:239" //res2: Thread=xpc10 state=X239:"xpc10:239" //*-------+------+---------+---------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------------------------------* //| 255 | - | R0 CTRL | | //| 255 | 1174 | R0 DATA | | //| 255+E | 1174 | W0 DATA | @64_US/CC/SCALbx28_dk te=te:255 scalarw(S64'1+fastspilldup30) | //*-------+------+---------+---------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X240:"xpc10:240" 1175 : major_start_pcl=256 edge_private_start/end=-1/-1 exec=256 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X240:"xpc10:240" //res2: Thread=xpc10 state=X240:"xpc10:240" //*-------+------+---------+-----------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------------* //| 256 | - | R0 CTRL | | //| 256 | 1175 | R0 DATA | | //| 256+E | 1175 | W0 DATA | TTMT4Main_V_12 te=te:256 scalarw(C64u(TDGe6.4_V_0)) | //*-------+------+---------+-----------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X241:"xpc10:241" 1176 : major_start_pcl=257 edge_private_start/end=-1/-1 exec=257 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X241:"xpc10:241" //res2: Thread=xpc10 state=X241:"xpc10:241" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 257 | - | R0 CTRL | | //| 257 | 1176 | R0 DATA | | //| 257+E | 1176 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X242:"xpc10:242" 1177 : major_start_pcl=258 edge_private_start/end=-1/-1 exec=258 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X242:"xpc10:242" //res2: Thread=xpc10 state=X242:"xpc10:242" //*-------+------+---------+--------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------------* //| 258 | - | R0 CTRL | | //| 258 | 1177 | R0 DATA | | //| 258+E | 1177 | W0 DATA | @_SINT/CC/SCALbx24_stats_lookups te=te:258 scalarw(E4) | //*-------+------+---------+--------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X243:"xpc10:243" 1178 : major_start_pcl=259 edge_private_start/end=-1/-1 exec=259 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X243:"xpc10:243" //res2: Thread=xpc10 state=X243:"xpc10:243" //*-------+------+---------+-----------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------* //| 259 | - | R0 CTRL | | //| 259 | 1178 | R0 DATA | | //| 259+E | 1178 | W0 DATA | TTMT4Main_V_13 te=te:259 scalarw(U64'0) | //*-------+------+---------+-----------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X244:"xpc10:244" 1180 : major_start_pcl=260 edge_private_start/end=-1/-1 exec=260 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X244:"xpc10:244" 1179 : major_start_pcl=260 edge_private_start/end=-1/-1 exec=260 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X244:"xpc10:244" //res2: Thread=xpc10 state=X244:"xpc10:244" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 260 | - | R0 CTRL | | //| 260 | 1179 | R0 DATA | | //| 260+E | 1179 | W0 DATA | | //| 260 | 1180 | R0 DATA | | //| 260+E | 1180 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X245:"xpc10:245" 1181 : major_start_pcl=261 edge_private_start/end=-1/-1 exec=261 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X245:"xpc10:245" //res2: Thread=xpc10 state=X245:"xpc10:245" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 261 | - | R0 CTRL | | //| 261 | 1181 | R0 DATA | | //| 261+E | 1181 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X246:"xpc10:246" 1182 : major_start_pcl=262 edge_private_start/end=-1/-1 exec=262 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X246:"xpc10:246" //res2: Thread=xpc10 state=X246:"xpc10:246" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 262 | - | R0 CTRL | | //| 262 | 1182 | R0 DATA | | //| 262+E | 1182 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X247:"xpc10:247" 1183 : major_start_pcl=263 edge_private_start/end=-1/-1 exec=263 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X247:"xpc10:247" //res2: Thread=xpc10 state=X247:"xpc10:247" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 263 | - | R0 CTRL | | //| 263 | 1183 | R0 DATA | | //| 263+E | 1183 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X248:"xpc10:248" 1184 : major_start_pcl=264 edge_private_start/end=-1/-1 exec=264 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X248:"xpc10:248" //res2: Thread=xpc10 state=X248:"xpc10:248" //*-------+------+---------+---------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------* //| 264 | - | R0 CTRL | | //| 264 | 1184 | R0 DATA | | //| 264+E | 1184 | W0 DATA | TCl6._SPILL_256 te=te:264 scalarw(-4) | //*-------+------+---------+---------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X249:"xpc10:249" 1185 : major_start_pcl=265 edge_private_start/end=-1/-1 exec=265 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X249:"xpc10:249" //res2: Thread=xpc10 state=X249:"xpc10:249" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 265 | - | R0 CTRL | | //| 265 | 1185 | R0 DATA | | //| 265+E | 1185 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X250:"xpc10:250" 1186 : major_start_pcl=266 edge_private_start/end=-1/-1 exec=266 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X250:"xpc10:250" //res2: Thread=xpc10 state=X250:"xpc10:250" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 266 | - | R0 CTRL | | //| 266 | 1186 | R0 DATA | | //| 266+E | 1186 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X251:"xpc10:251" 1187 : major_start_pcl=267 edge_private_start/end=-1/-1 exec=267 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X251:"xpc10:251" //res2: Thread=xpc10 state=X251:"xpc10:251" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 267 | - | R0 CTRL | | //| 267 | 1187 | R0 DATA | | //| 267+E | 1187 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X252:"xpc10:252" 1188 : major_start_pcl=268 edge_private_start/end=-1/-1 exec=268 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X252:"xpc10:252" //res2: Thread=xpc10 state=X252:"xpc10:252" //*-------+------+---------+------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------------------------* //| 268 | - | R0 CTRL | | //| 268 | 1188 | R0 DATA | | //| 268+E | 1188 | W0 DATA | TTMT4Main_V_14 te=te:268 scalarw(C(TCl6._SPILL_256)) | //*-------+------+---------+------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X253:"xpc10:253" 1190 : major_start_pcl=269 edge_private_start/end=-1/-1 exec=269 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X253:"xpc10:253" 1189 : major_start_pcl=269 edge_private_start/end=-1/-1 exec=269 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X253:"xpc10:253" //res2: Thread=xpc10 state=X253:"xpc10:253" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 269 | - | R0 CTRL | | //| 269 | 1189 | R0 DATA | | //| 269+E | 1189 | W0 DATA | | //| 269 | 1190 | R0 DATA | | //| 269+E | 1190 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X254:"xpc10:254" 1191 : major_start_pcl=270 edge_private_start/end=-1/-1 exec=270 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X254:"xpc10:254" //res2: Thread=xpc10 state=X254:"xpc10:254" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 270 | - | R0 CTRL | | //| 270 | 1191 | R0 DATA | | //| 270+E | 1191 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X255:"xpc10:255" 1192 : major_start_pcl=271 edge_private_start/end=-1/-1 exec=271 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X255:"xpc10:255" //res2: Thread=xpc10 state=X255:"xpc10:255" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 271 | - | R0 CTRL | | //| 271 | 1192 | R0 DATA | | //| 271+E | 1192 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X256:"xpc10:256" 1193 : major_start_pcl=272 edge_private_start/end=-1/-1 exec=272 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X256:"xpc10:256" //res2: Thread=xpc10 state=X256:"xpc10:256" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 272 | - | R0 CTRL | | //| 272 | 1193 | R0 DATA | | //| 272+E | 1193 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X257:"xpc10:257" 1195 : major_start_pcl=273 edge_private_start/end=-1/-1 exec=273 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X257:"xpc10:257" 1194 : major_start_pcl=273 edge_private_start/end=-1/-1 exec=273 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X257:"xpc10:257" //res2: Thread=xpc10 state=X257:"xpc10:257" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 273 | - | R0 CTRL | | //| 273 | 1194 | R0 DATA | | //| 273+E | 1194 | W0 DATA | | //| 273 | 1195 | R0 DATA | | //| 273+E | 1195 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X258:"xpc10:258" 1196 : major_start_pcl=274 edge_private_start/end=-1/-1 exec=274 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X258:"xpc10:258" //res2: Thread=xpc10 state=X258:"xpc10:258" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 274 | - | R0 CTRL | | //| 274 | 1196 | R0 DATA | | //| 274+E | 1196 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X259:"xpc10:259" 1197 : major_start_pcl=275 edge_private_start/end=-1/-1 exec=275 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X259:"xpc10:259" //res2: Thread=xpc10 state=X259:"xpc10:259" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 275 | - | R0 CTRL | | //| 275 | 1197 | R0 DATA | | //| 275+E | 1197 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X260:"xpc10:260" 1198 : major_start_pcl=276 edge_private_start/end=-1/-1 exec=276 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X260:"xpc10:260" //res2: Thread=xpc10 state=X260:"xpc10:260" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 276 | - | R0 CTRL | | //| 276 | 1198 | R0 DATA | | //| 276+E | 1198 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X261:"xpc10:261" 1199 : major_start_pcl=277 edge_private_start/end=-1/-1 exec=277 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X261:"xpc10:261" //res2: Thread=xpc10 state=X261:"xpc10:261" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 277 | - | R0 CTRL | | //| 277 | 1199 | R0 DATA | | //| 277+E | 1199 | W0 DATA | TTMT4Main_V_9 te=te:277 scalarw(1+TTMT4Main_V_9) | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X262:"xpc10:262" 1200 : major_start_pcl=278 edge_private_start/end=-1/-1 exec=278 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X262:"xpc10:262" //res2: Thread=xpc10 state=X262:"xpc10:262" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 278 | - | R0 CTRL | | //| 278 | 1200 | R0 DATA | | //| 278+E | 1200 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X263:"xpc10:263" 1201 : major_start_pcl=279 edge_private_start/end=-1/-1 exec=279 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X263:"xpc10:263" //res2: Thread=xpc10 state=X263:"xpc10:263" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 279 | - | R0 CTRL | | //| 279 | 1201 | R0 DATA | | //| 279+E | 1201 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X264:"xpc10:264" 1202 : major_start_pcl=280 edge_private_start/end=-1/-1 exec=280 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X264:"xpc10:264" //res2: Thread=xpc10 state=X264:"xpc10:264" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 280 | - | R0 CTRL | | //| 280 | 1202 | R0 DATA | | //| 280+E | 1202 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X265:"xpc10:265" 1203 : major_start_pcl=281 edge_private_start/end=-1/-1 exec=281 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X265:"xpc10:265" //res2: Thread=xpc10 state=X265:"xpc10:265" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 281 | - | R0 CTRL | | //| 281 | 1203 | R0 DATA | | //| 281+E | 1203 | W0 DATA | TTMT4Main_V_8 te=te:281 scalarw(1+TTMT4Main_V_8) | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X266:"xpc10:266" 1204 : major_start_pcl=282 edge_private_start/end=-1/-1 exec=282 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X266:"xpc10:266" //res2: Thread=xpc10 state=X266:"xpc10:266" //*-------+------+---------+----------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------------* //| 282 | - | R0 CTRL | | //| 282 | 1204 | R0 DATA | | //| 282+E | 1204 | W0 DATA | TTMT4Main_V_10 te=te:282 scalarw(1+TTMT4Main_V_10) | //*-------+------+---------+----------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X267:"xpc10:267" 1205 : major_start_pcl=283 edge_private_start/end=-1/-1 exec=283 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X267:"xpc10:267" //res2: Thread=xpc10 state=X267:"xpc10:267" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 283 | - | R0 CTRL | | //| 283 | 1205 | R0 DATA | | //| 283+E | 1205 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X268:"xpc10:268" 1206 : major_start_pcl=284 edge_private_start/end=-1/-1 exec=284 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X268:"xpc10:268" //res2: Thread=xpc10 state=X268:"xpc10:268" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 284 | - | R0 CTRL | | //| 284 | 1206 | R0 DATA | | //| 284+E | 1206 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X269:"xpc10:269" 1207 : major_start_pcl=285 edge_private_start/end=-1/-1 exec=285 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X269:"xpc10:269" //res2: Thread=xpc10 state=X269:"xpc10:269" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 285 | - | R0 CTRL | | //| 285 | 1207 | R0 DATA | | //| 285+E | 1207 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X270:"xpc10:270" 1208 : major_start_pcl=286 edge_private_start/end=-1/-1 exec=286 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X270:"xpc10:270" //res2: Thread=xpc10 state=X270:"xpc10:270" //*-------+------+---------+----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------* //| 286 | - | R0 CTRL | | //| 286 | 1208 | R0 DATA | | //| 286+E | 1208 | W0 DATA | TClo6.9_V_1 te=te:286 scalarw(0) | //*-------+------+---------+----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X271:"xpc10:271" 1209 : major_start_pcl=287 edge_private_start/end=-1/-1 exec=287 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X271:"xpc10:271" //res2: Thread=xpc10 state=X271:"xpc10:271" //*-------+------+---------+----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------* //| 287 | - | R0 CTRL | | //| 287 | 1209 | R0 DATA | | //| 287+E | 1209 | W0 DATA | TClo6.9_V_0 te=te:287 scalarw(0) | //*-------+------+---------+----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X272:"xpc10:272" 1210 : major_start_pcl=288 edge_private_start/end=-1/-1 exec=288 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X272:"xpc10:272" //res2: Thread=xpc10 state=X272:"xpc10:272" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 288 | - | R0 CTRL | | //| 288 | 1210 | R0 DATA | | //| 288+E | 1210 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X273:"xpc10:273" 1211 : major_start_pcl=289 edge_private_start/end=-1/-1 exec=289 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X273:"xpc10:273" //res2: Thread=xpc10 state=X273:"xpc10:273" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 289 | - | R0 CTRL | | //| 289 | 1211 | R0 DATA | | //| 289+E | 1211 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X274:"xpc10:274" 1212 : major_start_pcl=290 edge_private_start/end=-1/-1 exec=290 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X274:"xpc10:274" //res2: Thread=xpc10 state=X274:"xpc10:274" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 290 | - | R0 CTRL | | //| 290 | 1212 | R0 DATA | | //| 290+E | 1212 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X275:"xpc10:275" 1214 : major_start_pcl=291 edge_private_start/end=-1/-1 exec=291 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X275:"xpc10:275" 1213 : major_start_pcl=291 edge_private_start/end=-1/-1 exec=291 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X275:"xpc10:275" //res2: Thread=xpc10 state=X275:"xpc10:275" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 291 | - | R0 CTRL | | //| 291 | 1213 | R0 DATA | | //| 291+E | 1213 | W0 DATA | | //| 291 | 1214 | R0 DATA | | //| 291+E | 1214 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X276:"xpc10:276" 1215 : major_start_pcl=292 edge_private_start/end=-1/-1 exec=292 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X276:"xpc10:276" //res2: Thread=xpc10 state=X276:"xpc10:276" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 292 | - | R0 CTRL | | //| 292 | 1215 | R0 DATA | | //| 292+E | 1215 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X277:"xpc10:277" 1216 : major_start_pcl=293 edge_private_start/end=-1/-1 exec=293 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X277:"xpc10:277" //res2: Thread=xpc10 state=X277:"xpc10:277" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 293 | - | R0 CTRL | | //| 293 | 1216 | R0 DATA | | //| 293+E | 1216 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X278:"xpc10:278" 1217 : major_start_pcl=294 edge_private_start/end=-1/-1 exec=294 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X278:"xpc10:278" //res2: Thread=xpc10 state=X278:"xpc10:278" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 294 | - | R0 CTRL | | //| 294 | 1217 | R0 DATA | | //| 294+E | 1217 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X279:"xpc10:279" 1219 : major_start_pcl=295 edge_private_start/end=-1/-1 exec=295 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X279:"xpc10:279" 1218 : major_start_pcl=295 edge_private_start/end=-1/-1 exec=295 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X279:"xpc10:279" //res2: Thread=xpc10 state=X279:"xpc10:279" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 295 | - | R0 CTRL | | //| 295 | 1218 | R0 DATA | | //| 295+E | 1218 | W0 DATA | | //| 295 | 1219 | R0 DATA | | //| 295+E | 1219 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X280:"xpc10:280" 1220 : major_start_pcl=296 edge_private_start/end=-1/-1 exec=296 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X280:"xpc10:280" //res2: Thread=xpc10 state=X280:"xpc10:280" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 296 | - | R0 CTRL | | //| 296 | 1220 | R0 DATA | | //| 296+E | 1220 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X281:"xpc10:281" 1221 : major_start_pcl=297 edge_private_start/end=-1/-1 exec=297 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X281:"xpc10:281" //res2: Thread=xpc10 state=X281:"xpc10:281" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 297 | - | R0 CTRL | | //| 297 | 1221 | R0 DATA | | //| 297+E | 1221 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X282:"xpc10:282" 1222 : major_start_pcl=298 edge_private_start/end=-1/-1 exec=298 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X282:"xpc10:282" //res2: Thread=xpc10 state=X282:"xpc10:282" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 298 | - | R0 CTRL | | //| 298 | 1222 | R0 DATA | | //| 298+E | 1222 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X283:"xpc10:283" 1223 : major_start_pcl=299 edge_private_start/end=-1/-1 exec=299 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X283:"xpc10:283" //res2: Thread=xpc10 state=X283:"xpc10:283" //*-------+------+---------+---------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------* //| 299 | - | R0 CTRL | | //| 299 | 1223 | R0 DATA | | //| 299+E | 1223 | W0 DATA | TCl6._SPILL_256 te=te:299 scalarw(-5) | //*-------+------+---------+---------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X284:"xpc10:284" 1224 : major_start_pcl=300 edge_private_start/end=-1/-1 exec=300 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X284:"xpc10:284" //res2: Thread=xpc10 state=X284:"xpc10:284" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 300 | - | R0 CTRL | | //| 300 | 1224 | R0 DATA | | //| 300+E | 1224 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X285:"xpc10:285" 1225 : major_start_pcl=301 edge_private_start/end=-1/-1 exec=301 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X285:"xpc10:285" //res2: Thread=xpc10 state=X285:"xpc10:285" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 301 | - | R0 CTRL | | //| 301 | 1225 | R0 DATA | | //| 301+E | 1225 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X286:"xpc10:286" 1226 : major_start_pcl=302 edge_private_start/end=-1/-1 exec=302 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X286:"xpc10:286" //res2: Thread=xpc10 state=X286:"xpc10:286" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 302 | - | R0 CTRL | | //| 302 | 1226 | R0 DATA | | //| 302+E | 1226 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X287:"xpc10:287" 1227 : major_start_pcl=303 edge_private_start/end=304/304 exec=304 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X287:"xpc10:287" //res2: Thread=xpc10 state=X287:"xpc10:287" //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* //| 303 | - | R0 CTRL | | //| 303 | 1227 | R0 DATA | @_SINT/CC/MAPR12NoCE3_ARB0 te=te:303 read(TClo6.9_V_1) @_SINT/CC/MAPR12NoCE2_ARB0 te=te:303 read(TClo6.9_V_1) @_SINT/CC/MAPR12NoCE1_ARB0 te=te:30\ | //| | | | 3 read(TClo6.9_V_1) @_SINT/CC/MAPR12NoCE0_ARB0 te=te:303 read(TClo6.9_V_1) | //| 304 | 1227 | R1 DATA | | //| 304+E | 1227 | W0 DATA | TClo6.9_V_2 te=te:304 scalarw(E5) | //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X288:"xpc10:288" 1228 : major_start_pcl=305 edge_private_start/end=306/306 exec=306 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X288:"xpc10:288" //res2: Thread=xpc10 state=X288:"xpc10:288" //*-------+------+---------+-----------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------------* //| 305 | - | R0 CTRL | | //| 305 | 1228 | R0 DATA | @64_US/CC/SCALbx26_ARA0 te=te:305 read(TClo6.9_V_2) | //| 306 | 1228 | R1 DATA | | //| 306+E | 1228 | W0 DATA | TTMT4Main_V_13 te=te:306 scalarw(E6) | //*-------+------+---------+-----------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X289:"xpc10:289" 1229 : major_start_pcl=307 edge_private_start/end=-1/-1 exec=307 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X289:"xpc10:289" //res2: Thread=xpc10 state=X289:"xpc10:289" //*-------+------+---------+--------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------* //| 307 | - | R0 CTRL | | //| 307 | 1229 | R0 DATA | | //| 307+E | 1229 | W0 DATA | TCl6._SPILL_256 te=te:307 scalarw(0) | //*-------+------+---------+--------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X290:"xpc10:290" 1230 : major_start_pcl=308 edge_private_start/end=-1/-1 exec=308 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X290:"xpc10:290" //res2: Thread=xpc10 state=X290:"xpc10:290" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 308 | - | R0 CTRL | | //| 308 | 1230 | R0 DATA | | //| 308+E | 1230 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X291:"xpc10:291" 1231 : major_start_pcl=309 edge_private_start/end=-1/-1 exec=309 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X291:"xpc10:291" //res2: Thread=xpc10 state=X291:"xpc10:291" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 309 | - | R0 CTRL | | //| 309 | 1231 | R0 DATA | | //| 309+E | 1231 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X292:"xpc10:292" 1232 : major_start_pcl=310 edge_private_start/end=-1/-1 exec=310 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X292:"xpc10:292" //res2: Thread=xpc10 state=X292:"xpc10:292" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 310 | - | R0 CTRL | | //| 310 | 1232 | R0 DATA | | //| 310+E | 1232 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X293:"xpc10:293" 1233 : major_start_pcl=311 edge_private_start/end=-1/-1 exec=311 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X293:"xpc10:293" //res2: Thread=xpc10 state=X293:"xpc10:293" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 311 | - | R0 CTRL | | //| 311 | 1233 | R0 DATA | | //| 311+E | 1233 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X294:"xpc10:294" 1234 : major_start_pcl=312 edge_private_start/end=-1/-1 exec=312 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X294:"xpc10:294" //res2: Thread=xpc10 state=X294:"xpc10:294" //*-------+------+---------+--------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------------------* //| 312 | - | R0 CTRL | | //| 312 | 1234 | R0 DATA | | //| 312+E | 1234 | W0 DATA | @_SINT/CC/SCALbx24_stats_lookup_probes te=te:312 scalarw(E7) | //*-------+------+---------+--------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X295:"xpc10:295" 1235 : major_start_pcl=313 edge_private_start/end=-1/-1 exec=313 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X295:"xpc10:295" //res2: Thread=xpc10 state=X295:"xpc10:295" //*-------+------+---------+------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------* //| 313 | - | R0 CTRL | | //| 313 | 1235 | R0 DATA | | //| 313+E | 1235 | W0 DATA | TCha3.10_V_0 te=te:313 scalarw(E8) | //*-------+------+---------+------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X296:"xpc10:296" 1237 : major_start_pcl=314 edge_private_start/end=-1/-1 exec=314 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X296:"xpc10:296" 1236 : major_start_pcl=314 edge_private_start/end=-1/-1 exec=314 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X296:"xpc10:296" //res2: Thread=xpc10 state=X296:"xpc10:296" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 314 | - | R0 CTRL | | //| 314 | 1236 | R0 DATA | | //| 314+E | 1236 | W0 DATA | | //| 314 | 1237 | R0 DATA | | //| 314+E | 1237 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X297:"xpc10:297" 1238 : major_start_pcl=315 edge_private_start/end=-1/-1 exec=315 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X297:"xpc10:297" //res2: Thread=xpc10 state=X297:"xpc10:297" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 315 | - | R0 CTRL | | //| 315 | 1238 | R0 DATA | | //| 315+E | 1238 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X298:"xpc10:298" 1239 : major_start_pcl=316 edge_private_start/end=-1/-1 exec=316 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X298:"xpc10:298" //res2: Thread=xpc10 state=X298:"xpc10:298" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 316 | - | R0 CTRL | | //| 316 | 1239 | R0 DATA | | //| 316+E | 1239 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X299:"xpc10:299" 1240 : major_start_pcl=317 edge_private_start/end=-1/-1 exec=317 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X299:"xpc10:299" //res2: Thread=xpc10 state=X299:"xpc10:299" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 317 | - | R0 CTRL | | //| 317 | 1240 | R0 DATA | | //| 317+E | 1240 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X300:"xpc10:300" 1241 : major_start_pcl=318 edge_private_start/end=-1/-1 exec=318 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X300:"xpc10:300" //res2: Thread=xpc10 state=X300:"xpc10:300" //*-------+------+---------+-----------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------* //| 318 | - | R0 CTRL | | //| 318 | 1241 | R0 DATA | | //| 318+E | 1241 | W0 DATA | TCha3.10_V_0 te=te:318 scalarw(-TCha3.10_V_0) | //*-------+------+---------+-----------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X301:"xpc10:301" 1242 : major_start_pcl=319 edge_private_start/end=-1/-1 exec=319 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X301:"xpc10:301" //res2: Thread=xpc10 state=X301:"xpc10:301" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 319 | - | R0 CTRL | | //| 319 | 1242 | R0 DATA | | //| 319+E | 1242 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X302:"xpc10:302" 1243 : major_start_pcl=320 edge_private_start/end=-1/-1 exec=320 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X302:"xpc10:302" //res2: Thread=xpc10 state=X302:"xpc10:302" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 320 | - | R0 CTRL | | //| 320 | 1243 | R0 DATA | | //| 320+E | 1243 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X303:"xpc10:303" 1244 : major_start_pcl=321 edge_private_start/end=-1/-1 exec=321 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X303:"xpc10:303" //res2: Thread=xpc10 state=X303:"xpc10:303" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 321 | - | R0 CTRL | | //| 321 | 1244 | R0 DATA | | //| 321+E | 1244 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X304:"xpc10:304" 1245 : major_start_pcl=322 edge_private_start/end=323/323 exec=323 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X304:"xpc10:304" //res2: Thread=xpc10 state=X304:"xpc10:304" //*-------+------+---------+----------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------------------* //| 322 | - | R0 CTRL | | //| 322 | 1245 | R0 DATA | isMODULUS10 te=te:322 *fixed-func-ALU*(TCha3.10_V_0, E9) | //| 323 | 1245 | R1 DATA | | //| 323+E | 1245 | W0 DATA | TClo6.9_V_1 te=te:323 scalarw(E10) | //*-------+------+---------+----------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X305:"xpc10:305" 1247 : major_start_pcl=324 edge_private_start/end=-1/-1 exec=325 (dend=1) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X305:"xpc10:305" 1246 : major_start_pcl=324 edge_private_start/end=-1/-1 exec=325 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X305:"xpc10:305" //res2: Thread=xpc10 state=X305:"xpc10:305" //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* //| 324 | - | R0 CTRL | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:324 read(TClo6.9_V_1) @_SINT/CC/MAPR10NoCE2_ARA0 te=te:324 read(TClo6.9_V_1) @_SINT/CC/MAPR10NoCE1_ARA0 te=te:32\ | //| | | | 4 read(TClo6.9_V_1) @_SINT/CC/MAPR10NoCE0_ARA0 te=te:324 read(TClo6.9_V_1) | //| 325 | - | R1 CTRL | | //| 324 | 1246 | R0 DATA | | //| 325 | 1246 | R1 DATA | | //| 325+E | 1246 | W0 DATA | | //| 324 | 1247 | R0 DATA | | //| 325 | 1247 | R1 DATA | | //| 325+E | 1247 | W0 DATA | | //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X306:"xpc10:306" 1248 : major_start_pcl=326 edge_private_start/end=-1/-1 exec=326 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X306:"xpc10:306" //res2: Thread=xpc10 state=X306:"xpc10:306" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 326 | - | R0 CTRL | | //| 326 | 1248 | R0 DATA | | //| 326+E | 1248 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X307:"xpc10:307" 1249 : major_start_pcl=327 edge_private_start/end=-1/-1 exec=327 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X307:"xpc10:307" //res2: Thread=xpc10 state=X307:"xpc10:307" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 327 | - | R0 CTRL | | //| 327 | 1249 | R0 DATA | | //| 327+E | 1249 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X308:"xpc10:308" 1250 : major_start_pcl=328 edge_private_start/end=-1/-1 exec=328 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X308:"xpc10:308" //res2: Thread=xpc10 state=X308:"xpc10:308" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 328 | - | R0 CTRL | | //| 328 | 1250 | R0 DATA | | //| 328+E | 1250 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X309:"xpc10:309" 1251 : major_start_pcl=329 edge_private_start/end=-1/-1 exec=329 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X309:"xpc10:309" //res2: Thread=xpc10 state=X309:"xpc10:309" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 329 | - | R0 CTRL | | //| 329 | 1251 | R0 DATA | | //| 329+E | 1251 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X310:"xpc10:310" 1252 : major_start_pcl=330 edge_private_start/end=-1/-1 exec=330 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X310:"xpc10:310" //res2: Thread=xpc10 state=X310:"xpc10:310" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 330 | - | R0 CTRL | | //| 330 | 1252 | R0 DATA | | //| 330+E | 1252 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X311:"xpc10:311" 1253 : major_start_pcl=331 edge_private_start/end=-1/-1 exec=331 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X311:"xpc10:311" //res2: Thread=xpc10 state=X311:"xpc10:311" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 331 | - | R0 CTRL | | //| 331 | 1253 | R0 DATA | | //| 331+E | 1253 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X312:"xpc10:312" 1254 : major_start_pcl=332 edge_private_start/end=-1/-1 exec=332 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X312:"xpc10:312" //res2: Thread=xpc10 state=X312:"xpc10:312" //*-------+------+---------+----------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------* //| 332 | - | R0 CTRL | | //| 332 | 1254 | R0 DATA | | //| 332+E | 1254 | W0 DATA | TClo6.9_V_0 te=te:332 scalarw(1+TClo6.9_V_0) | //*-------+------+---------+----------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X313:"xpc10:313" 1255 : major_start_pcl=333 edge_private_start/end=-1/-1 exec=333 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X313:"xpc10:313" //res2: Thread=xpc10 state=X313:"xpc10:313" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 333 | - | R0 CTRL | | //| 333 | 1255 | R0 DATA | | //| 333+E | 1255 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X314:"xpc10:314" 1256 : major_start_pcl=334 edge_private_start/end=-1/-1 exec=334 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X314:"xpc10:314" //res2: Thread=xpc10 state=X314:"xpc10:314" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 334 | - | R0 CTRL | | //| 334 | 1256 | R0 DATA | | //| 334+E | 1256 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X315:"xpc10:315" 1257 : major_start_pcl=335 edge_private_start/end=-1/-1 exec=335 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X315:"xpc10:315" //res2: Thread=xpc10 state=X315:"xpc10:315" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 335 | - | R0 CTRL | | //| 335 | 1257 | R0 DATA | | //| 335+E | 1257 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X316:"xpc10:316" 1258 : major_start_pcl=336 edge_private_start/end=-1/-1 exec=336 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X316:"xpc10:316" //res2: Thread=xpc10 state=X316:"xpc10:316" //*-------+------+---------+-----------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------* //| 336 | - | R0 CTRL | | //| 336 | 1258 | R0 DATA | | //| 336+E | 1258 | W0 DATA | @_SINT/CC/SCALbx28_seed te=te:336 scalarw(E1) | //*-------+------+---------+-----------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X317:"xpc10:317" 1259 : major_start_pcl=337 edge_private_start/end=-1/-1 exec=337 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X317:"xpc10:317" //res2: Thread=xpc10 state=X317:"xpc10:317" //*-------+------+---------+-------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------* //| 337 | - | R0 CTRL | | //| 337 | 1259 | R0 DATA | | //| 337+E | 1259 | W0 DATA | TTMT4Main_V_5 te=te:337 scalarw(E2) | //*-------+------+---------+-------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X318:"xpc10:318" 1260 : major_start_pcl=338 edge_private_start/end=-1/-1 exec=338 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X318:"xpc10:318" //res2: Thread=xpc10 state=X318:"xpc10:318" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 338 | - | R0 CTRL | | //| 338 | 1260 | R0 DATA | | //| 338+E | 1260 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X319:"xpc10:319" 1261 : major_start_pcl=339 edge_private_start/end=-1/-1 exec=339 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X319:"xpc10:319" //res2: Thread=xpc10 state=X319:"xpc10:319" //*-------+------+---------+--------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------* //| 339 | - | R0 CTRL | | //| 339 | 1261 | R0 DATA | | //| 339+E | 1261 | W0 DATA | fastspilldup12 te=te:339 scalarw(E3) | //*-------+------+---------+--------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X320:"xpc10:320" 1262 : major_start_pcl=340 edge_private_start/end=-1/-1 exec=340 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X320:"xpc10:320" //res2: Thread=xpc10 state=X320:"xpc10:320" //*-------+------+---------+-----------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------------* //| 340 | - | R0 CTRL | | //| 340 | 1262 | R0 DATA | | //| 340+E | 1262 | W0 DATA | TDGe1.4_V_0 te=te:340 scalarw(C64u(fastspilldup12)) | //*-------+------+---------+-----------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X321:"xpc10:321" 1263 : major_start_pcl=341 edge_private_start/end=-1/-1 exec=341 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X321:"xpc10:321" //res2: Thread=xpc10 state=X321:"xpc10:321" //*-------+------+---------+---------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------------------------------* //| 341 | - | R0 CTRL | | //| 341 | 1263 | R0 DATA | | //| 341+E | 1263 | W0 DATA | @64_US/CC/SCALbx28_dk te=te:341 scalarw(S64'1+fastspilldup12) | //*-------+------+---------+---------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X322:"xpc10:322" 1264 : major_start_pcl=342 edge_private_start/end=-1/-1 exec=342 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X322:"xpc10:322" //res2: Thread=xpc10 state=X322:"xpc10:322" //*-------+------+---------+----------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------------* //| 342 | - | R0 CTRL | | //| 342 | 1264 | R0 DATA | | //| 342+E | 1264 | W0 DATA | TTMT4Main_V_6 te=te:342 scalarw(C64u(TDGe1.4_V_0)) | //*-------+------+---------+----------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X323:"xpc10:323" 1265 : major_start_pcl=343 edge_private_start/end=-1/-1 exec=343 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X323:"xpc10:323" //res2: Thread=xpc10 state=X323:"xpc10:323" //*-------+------+---------+-------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------* //| 343 | - | R0 CTRL | | //| 343 | 1265 | R0 DATA | | //| 343+E | 1265 | W0 DATA | TCin1.9_V_0 te=te:343 scalarw(C(TTMT4Main_V_5)) | //*-------+------+---------+-------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X324:"xpc10:324" 1266 : major_start_pcl=344 edge_private_start/end=-1/-1 exec=344 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X324:"xpc10:324" //res2: Thread=xpc10 state=X324:"xpc10:324" //*-------+------+---------+----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------* //| 344 | - | R0 CTRL | | //| 344 | 1266 | R0 DATA | | //| 344+E | 1266 | W0 DATA | TCin1.9_V_1 te=te:344 scalarw(0) | //*-------+------+---------+----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X325:"xpc10:325" 1267 : major_start_pcl=345 edge_private_start/end=-1/-1 exec=345 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X325:"xpc10:325" //res2: Thread=xpc10 state=X325:"xpc10:325" //*-------+------+---------+----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------* //| 345 | - | R0 CTRL | | //| 345 | 1267 | R0 DATA | | //| 345+E | 1267 | W0 DATA | TCin1.9_V_2 te=te:345 scalarw(0) | //*-------+------+---------+----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X326:"xpc10:326" 1269 : major_start_pcl=346 edge_private_start/end=-1/-1 exec=346 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X326:"xpc10:326" 1268 : major_start_pcl=346 edge_private_start/end=-1/-1 exec=346 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X326:"xpc10:326" //res2: Thread=xpc10 state=X326:"xpc10:326" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 346 | - | R0 CTRL | | //| 346 | 1268 | R0 DATA | | //| 346+E | 1268 | W0 DATA | | //| 346 | 1269 | R0 DATA | | //| 346+E | 1269 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X327:"xpc10:327" 1270 : major_start_pcl=347 edge_private_start/end=-1/-1 exec=347 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X327:"xpc10:327" //res2: Thread=xpc10 state=X327:"xpc10:327" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 347 | - | R0 CTRL | | //| 347 | 1270 | R0 DATA | | //| 347+E | 1270 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X328:"xpc10:328" 1271 : major_start_pcl=348 edge_private_start/end=-1/-1 exec=348 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X328:"xpc10:328" //res2: Thread=xpc10 state=X328:"xpc10:328" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 348 | - | R0 CTRL | | //| 348 | 1271 | R0 DATA | | //| 348+E | 1271 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X329:"xpc10:329" 1272 : major_start_pcl=349 edge_private_start/end=-1/-1 exec=349 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X329:"xpc10:329" //res2: Thread=xpc10 state=X329:"xpc10:329" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 349 | - | R0 CTRL | | //| 349 | 1272 | R0 DATA | | //| 349+E | 1272 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X330:"xpc10:330" 1273 : major_start_pcl=350 edge_private_start/end=-1/-1 exec=350 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X330:"xpc10:330" //res2: Thread=xpc10 state=X330:"xpc10:330" //*-------+------+---------+---------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------* //| 350 | - | R0 CTRL | | //| 350 | 1273 | R0 DATA | | //| 350+E | 1273 | W0 DATA | TCi1._SPILL_256 te=te:350 scalarw(-4) | //*-------+------+---------+---------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X331:"xpc10:331" 1274 : major_start_pcl=351 edge_private_start/end=-1/-1 exec=351 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X331:"xpc10:331" //res2: Thread=xpc10 state=X331:"xpc10:331" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 351 | - | R0 CTRL | | //| 351 | 1274 | R0 DATA | | //| 351+E | 1274 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X332:"xpc10:332" 1275 : major_start_pcl=352 edge_private_start/end=-1/-1 exec=352 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X332:"xpc10:332" //res2: Thread=xpc10 state=X332:"xpc10:332" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 352 | - | R0 CTRL | | //| 352 | 1275 | R0 DATA | | //| 352+E | 1275 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X333:"xpc10:333" 1276 : major_start_pcl=353 edge_private_start/end=-1/-1 exec=353 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X333:"xpc10:333" //res2: Thread=xpc10 state=X333:"xpc10:333" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 353 | - | R0 CTRL | | //| 353 | 1276 | R0 DATA | | //| 353+E | 1276 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X334:"xpc10:334" 1277 : major_start_pcl=354 edge_private_start/end=-1/-1 exec=354 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X334:"xpc10:334" //res2: Thread=xpc10 state=X334:"xpc10:334" //*-------+------+---------+-----------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------------* //| 354 | - | R0 CTRL | | //| 354 | 1277 | R0 DATA | | //| 354+E | 1277 | W0 DATA | TTMT4Main_V_7 te=te:354 scalarw(C(TCi1._SPILL_256)) | //*-------+------+---------+-----------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X335:"xpc10:335" 1279 : major_start_pcl=355 edge_private_start/end=-1/-1 exec=355 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X335:"xpc10:335" 1278 : major_start_pcl=355 edge_private_start/end=-1/-1 exec=355 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X335:"xpc10:335" //res2: Thread=xpc10 state=X335:"xpc10:335" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 355 | - | R0 CTRL | | //| 355 | 1278 | R0 DATA | | //| 355+E | 1278 | W0 DATA | | //| 355 | 1279 | R0 DATA | | //| 355+E | 1279 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X336:"xpc10:336" 1280 : major_start_pcl=356 edge_private_start/end=-1/-1 exec=356 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X336:"xpc10:336" //res2: Thread=xpc10 state=X336:"xpc10:336" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 356 | - | R0 CTRL | | //| 356 | 1280 | R0 DATA | | //| 356+E | 1280 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X337:"xpc10:337" 1281 : major_start_pcl=357 edge_private_start/end=-1/-1 exec=357 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X337:"xpc10:337" //res2: Thread=xpc10 state=X337:"xpc10:337" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 357 | - | R0 CTRL | | //| 357 | 1281 | R0 DATA | | //| 357+E | 1281 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X338:"xpc10:338" 1282 : major_start_pcl=358 edge_private_start/end=-1/-1 exec=358 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X338:"xpc10:338" //res2: Thread=xpc10 state=X338:"xpc10:338" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 358 | - | R0 CTRL | | //| 358 | 1282 | R0 DATA | | //| 358+E | 1282 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X339:"xpc10:339" 1283 : major_start_pcl=359 edge_private_start/end=-1/-1 exec=359 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X339:"xpc10:339" //res2: Thread=xpc10 state=X339:"xpc10:339" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 359 | - | R0 CTRL | | //| 359 | 1283 | R0 DATA | | //| 359+E | 1283 | W0 DATA | TTMT4Main_V_3 te=te:359 scalarw(1+TTMT4Main_V_3) | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X340:"xpc10:340" 1284 : major_start_pcl=360 edge_private_start/end=-1/-1 exec=360 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X340:"xpc10:340" //res2: Thread=xpc10 state=X340:"xpc10:340" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 360 | - | R0 CTRL | | //| 360 | 1284 | R0 DATA | | //| 360+E | 1284 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X341:"xpc10:341" 1285 : major_start_pcl=361 edge_private_start/end=-1/-1 exec=361 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X341:"xpc10:341" //res2: Thread=xpc10 state=X341:"xpc10:341" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 361 | - | R0 CTRL | | //| 361 | 1285 | R0 DATA | | //| 361+E | 1285 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X342:"xpc10:342" 1286 : major_start_pcl=362 edge_private_start/end=-1/-1 exec=362 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X342:"xpc10:342" //res2: Thread=xpc10 state=X342:"xpc10:342" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 362 | - | R0 CTRL | | //| 362 | 1286 | R0 DATA | | //| 362+E | 1286 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X343:"xpc10:343" 1287 : major_start_pcl=363 edge_private_start/end=-1/-1 exec=363 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X343:"xpc10:343" //res2: Thread=xpc10 state=X343:"xpc10:343" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 363 | - | R0 CTRL | | //| 363 | 1287 | R0 DATA | | //| 363+E | 1287 | W0 DATA | TTMT4Main_V_2 te=te:363 scalarw(1+TTMT4Main_V_2) | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X344:"xpc10:344" 1288 : major_start_pcl=364 edge_private_start/end=-1/-1 exec=364 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X344:"xpc10:344" //res2: Thread=xpc10 state=X344:"xpc10:344" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 364 | - | R0 CTRL | | //| 364 | 1288 | R0 DATA | | //| 364+E | 1288 | W0 DATA | TTMT4Main_V_4 te=te:364 scalarw(1+TTMT4Main_V_4) | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X345:"xpc10:345" 1289 : major_start_pcl=365 edge_private_start/end=-1/-1 exec=365 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X345:"xpc10:345" //res2: Thread=xpc10 state=X345:"xpc10:345" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 365 | - | R0 CTRL | | //| 365 | 1289 | R0 DATA | | //| 365+E | 1289 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X346:"xpc10:346" 1290 : major_start_pcl=366 edge_private_start/end=-1/-1 exec=366 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X346:"xpc10:346" //res2: Thread=xpc10 state=X346:"xpc10:346" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 366 | - | R0 CTRL | | //| 366 | 1290 | R0 DATA | | //| 366+E | 1290 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X347:"xpc10:347" 1291 : major_start_pcl=367 edge_private_start/end=-1/-1 exec=367 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X347:"xpc10:347" //res2: Thread=xpc10 state=X347:"xpc10:347" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 367 | - | R0 CTRL | | //| 367 | 1291 | R0 DATA | | //| 367+E | 1291 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X348:"xpc10:348" 1293 : major_start_pcl=368 edge_private_start/end=-1/-1 exec=368 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X348:"xpc10:348" 1292 : major_start_pcl=368 edge_private_start/end=-1/-1 exec=368 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X348:"xpc10:348" //res2: Thread=xpc10 state=X348:"xpc10:348" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 368 | - | R0 CTRL | | //| 368 | 1292 | R0 DATA | | //| 368+E | 1292 | W0 DATA | | //| 368 | 1293 | R0 DATA | | //| 368+E | 1293 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X349:"xpc10:349" 1294 : major_start_pcl=369 edge_private_start/end=-1/-1 exec=369 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X349:"xpc10:349" //res2: Thread=xpc10 state=X349:"xpc10:349" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 369 | - | R0 CTRL | | //| 369 | 1294 | R0 DATA | | //| 369+E | 1294 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X350:"xpc10:350" 1295 : major_start_pcl=370 edge_private_start/end=-1/-1 exec=370 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X350:"xpc10:350" //res2: Thread=xpc10 state=X350:"xpc10:350" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 370 | - | R0 CTRL | | //| 370 | 1295 | R0 DATA | | //| 370+E | 1295 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X351:"xpc10:351" 1296 : major_start_pcl=371 edge_private_start/end=-1/-1 exec=371 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X351:"xpc10:351" //res2: Thread=xpc10 state=X351:"xpc10:351" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 371 | - | R0 CTRL | | //| 371 | 1296 | R0 DATA | | //| 371+E | 1296 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X352:"xpc10:352" 1297 : major_start_pcl=372 edge_private_start/end=-1/-1 exec=372 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X352:"xpc10:352" //res2: Thread=xpc10 state=X352:"xpc10:352" //*-------+------+---------+---------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------* //| 372 | - | R0 CTRL | | //| 372 | 1297 | R0 DATA | | //| 372+E | 1297 | W0 DATA | TCi1._SPILL_256 te=te:372 scalarw(-2) | //*-------+------+---------+---------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X353:"xpc10:353" 1298 : major_start_pcl=373 edge_private_start/end=-1/-1 exec=373 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X353:"xpc10:353" //res2: Thread=xpc10 state=X353:"xpc10:353" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 373 | - | R0 CTRL | | //| 373 | 1298 | R0 DATA | | //| 373+E | 1298 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X354:"xpc10:354" 1299 : major_start_pcl=374 edge_private_start/end=-1/-1 exec=374 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X354:"xpc10:354" //res2: Thread=xpc10 state=X354:"xpc10:354" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 374 | - | R0 CTRL | | //| 374 | 1299 | R0 DATA | | //| 374+E | 1299 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X355:"xpc10:355" 1300 : major_start_pcl=375 edge_private_start/end=-1/-1 exec=375 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X355:"xpc10:355" //res2: Thread=xpc10 state=X355:"xpc10:355" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 375 | - | R0 CTRL | | //| 375 | 1300 | R0 DATA | | //| 375+E | 1300 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X356:"xpc10:356" 1301 : major_start_pcl=376 edge_private_start/end=-1/-1 exec=376 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X356:"xpc10:356" //res2: Thread=xpc10 state=X356:"xpc10:356" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 376 | - | R0 CTRL | | //| 376 | 1301 | R0 DATA | | //| 376+E | 1301 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X357:"xpc10:357" 1302 : major_start_pcl=377 edge_private_start/end=-1/-1 exec=377 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X357:"xpc10:357" //res2: Thread=xpc10 state=X357:"xpc10:357" //*-------+------+---------+---------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------* //| 377 | - | R0 CTRL | | //| 377 | 1302 | R0 DATA | | //| 377+E | 1302 | W0 DATA | fastspilldup16 te=te:377 scalarw(E11) | //*-------+------+---------+---------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X358:"xpc10:358" 1303 : major_start_pcl=378 edge_private_start/end=-1/-1 exec=378 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X358:"xpc10:358" //res2: Thread=xpc10 state=X358:"xpc10:358" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 378 | - | R0 CTRL | | //| 378 | 1303 | R0 DATA | | //| 378+E | 1303 | W0 DATA | TCin1.9_V_3 te=te:378 scalarw(C(fastspilldup16)) | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X359:"xpc10:359" 1304 : major_start_pcl=379 edge_private_start/end=-1/-1 exec=379 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X359:"xpc10:359" //res2: Thread=xpc10 state=X359:"xpc10:359" //*-------+------+---------+------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------------------------------------* //| 379 | - | R0 CTRL | | //| 379 | 1304 | R0 DATA | | //| 379+E | 1304 | W0 DATA | @_SINT/CC/SCALbx24_next_free te=te:379 scalarw(1+fastspilldup16) | //*-------+------+---------+------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X360:"xpc10:360" 1305 : major_start_pcl=380 edge_private_start/end=-1/-1 exec=380 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X360:"xpc10:360" //res2: Thread=xpc10 state=X360:"xpc10:360" //*-------+------+---------+-----------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------* //| 380 | - | R0 CTRL | | //| 380 | 1305 | R0 DATA | | //| 380+E | 1305 | W0 DATA | TCin1.9_V_2 te=te:380 scalarw(C(TCin1.9_V_3)) | //*-------+------+---------+-----------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X361:"xpc10:361" 1306 : major_start_pcl=381 edge_private_start/end=382/382 exec=381 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X361:"xpc10:361" //res2: Thread=xpc10 state=X361:"xpc10:361" //*-------+------+---------+---------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------------------------------------------* //| 381 | - | R0 CTRL | | //| 381 | 1306 | R0 DATA | | //| 381+E | 1306 | W0 DATA | @64_US/CC/SCALbx26_ARA0 te=te:381 write(TCin1.9_V_2, C64u(TTMT4Main_V_6)) | //| 382 | 1306 | W1 DATA | | //*-------+------+---------+---------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X362:"xpc10:362" 1307 : major_start_pcl=383 edge_private_start/end=-1/-1 exec=383 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X362:"xpc10:362" //res2: Thread=xpc10 state=X362:"xpc10:362" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 383 | - | R0 CTRL | | //| 383 | 1307 | R0 DATA | | //| 383+E | 1307 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X363:"xpc10:363" 1308 : major_start_pcl=384 edge_private_start/end=-1/-1 exec=384 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X363:"xpc10:363" //res2: Thread=xpc10 state=X363:"xpc10:363" //*-------+------+---------+---------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------------------------* //| 384 | - | R0 CTRL | | //| 384 | 1308 | R0 DATA | | //| 384+E | 1308 | W0 DATA | @_SINT/CC/SCALbx24_stats_inserts te=te:384 scalarw(E12) | //*-------+------+---------+---------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X364:"xpc10:364" 1309 : major_start_pcl=385 edge_private_start/end=-1/-1 exec=385 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X364:"xpc10:364" //res2: Thread=xpc10 state=X364:"xpc10:364" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 385 | - | R0 CTRL | | //| 385 | 1309 | R0 DATA | | //| 385+E | 1309 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X365:"xpc10:365" 1310 : major_start_pcl=386 edge_private_start/end=-1/-1 exec=386 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X365:"xpc10:365" //res2: Thread=xpc10 state=X365:"xpc10:365" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 386 | - | R0 CTRL | | //| 386 | 1310 | R0 DATA | | //| 386+E | 1310 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X366:"xpc10:366" 1311 : major_start_pcl=387 edge_private_start/end=-1/-1 exec=387 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X366:"xpc10:366" //res2: Thread=xpc10 state=X366:"xpc10:366" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 387 | - | R0 CTRL | | //| 387 | 1311 | R0 DATA | | //| 387+E | 1311 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X367:"xpc10:367" 1312 : major_start_pcl=388 edge_private_start/end=-1/-1 exec=388 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X367:"xpc10:367" //res2: Thread=xpc10 state=X367:"xpc10:367" //*-------+------+---------+----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------* //| 388 | - | R0 CTRL | | //| 388 | 1312 | R0 DATA | | //| 388+E | 1312 | W0 DATA | TCin1.9_V_5 te=te:388 scalarw(0) | //*-------+------+---------+----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X368:"xpc10:368" 1313 : major_start_pcl=389 edge_private_start/end=-1/-1 exec=389 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X368:"xpc10:368" //res2: Thread=xpc10 state=X368:"xpc10:368" //*-------+------+---------+----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------* //| 389 | - | R0 CTRL | | //| 389 | 1313 | R0 DATA | | //| 389+E | 1313 | W0 DATA | TCin1.9_V_4 te=te:389 scalarw(0) | //*-------+------+---------+----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X369:"xpc10:369" 1314 : major_start_pcl=390 edge_private_start/end=-1/-1 exec=390 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X369:"xpc10:369" //res2: Thread=xpc10 state=X369:"xpc10:369" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 390 | - | R0 CTRL | | //| 390 | 1314 | R0 DATA | | //| 390+E | 1314 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X370:"xpc10:370" 1315 : major_start_pcl=391 edge_private_start/end=-1/-1 exec=391 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X370:"xpc10:370" //res2: Thread=xpc10 state=X370:"xpc10:370" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 391 | - | R0 CTRL | | //| 391 | 1315 | R0 DATA | | //| 391+E | 1315 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X371:"xpc10:371" 1316 : major_start_pcl=392 edge_private_start/end=-1/-1 exec=392 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X371:"xpc10:371" //res2: Thread=xpc10 state=X371:"xpc10:371" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 392 | - | R0 CTRL | | //| 392 | 1316 | R0 DATA | | //| 392+E | 1316 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X372:"xpc10:372" 1318 : major_start_pcl=393 edge_private_start/end=-1/-1 exec=393 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X372:"xpc10:372" 1317 : major_start_pcl=393 edge_private_start/end=-1/-1 exec=393 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X372:"xpc10:372" //res2: Thread=xpc10 state=X372:"xpc10:372" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 393 | - | R0 CTRL | | //| 393 | 1317 | R0 DATA | | //| 393+E | 1317 | W0 DATA | | //| 393 | 1318 | R0 DATA | | //| 393+E | 1318 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X373:"xpc10:373" 1319 : major_start_pcl=394 edge_private_start/end=-1/-1 exec=394 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X373:"xpc10:373" //res2: Thread=xpc10 state=X373:"xpc10:373" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 394 | - | R0 CTRL | | //| 394 | 1319 | R0 DATA | | //| 394+E | 1319 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X374:"xpc10:374" 1320 : major_start_pcl=395 edge_private_start/end=-1/-1 exec=395 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X374:"xpc10:374" //res2: Thread=xpc10 state=X374:"xpc10:374" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 395 | - | R0 CTRL | | //| 395 | 1320 | R0 DATA | | //| 395+E | 1320 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X375:"xpc10:375" 1321 : major_start_pcl=396 edge_private_start/end=-1/-1 exec=396 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X375:"xpc10:375" //res2: Thread=xpc10 state=X375:"xpc10:375" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 396 | - | R0 CTRL | | //| 396 | 1321 | R0 DATA | | //| 396+E | 1321 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X376:"xpc10:376" 1323 : major_start_pcl=397 edge_private_start/end=-1/-1 exec=397 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X376:"xpc10:376" 1322 : major_start_pcl=397 edge_private_start/end=-1/-1 exec=397 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X376:"xpc10:376" //res2: Thread=xpc10 state=X376:"xpc10:376" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 397 | - | R0 CTRL | | //| 397 | 1322 | R0 DATA | | //| 397+E | 1322 | W0 DATA | | //| 397 | 1323 | R0 DATA | | //| 397+E | 1323 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X377:"xpc10:377" 1324 : major_start_pcl=398 edge_private_start/end=-1/-1 exec=398 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X377:"xpc10:377" //res2: Thread=xpc10 state=X377:"xpc10:377" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 398 | - | R0 CTRL | | //| 398 | 1324 | R0 DATA | | //| 398+E | 1324 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X378:"xpc10:378" 1325 : major_start_pcl=399 edge_private_start/end=-1/-1 exec=399 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X378:"xpc10:378" //res2: Thread=xpc10 state=X378:"xpc10:378" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 399 | - | R0 CTRL | | //| 399 | 1325 | R0 DATA | | //| 399+E | 1325 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X379:"xpc10:379" 1326 : major_start_pcl=400 edge_private_start/end=-1/-1 exec=400 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X379:"xpc10:379" //res2: Thread=xpc10 state=X379:"xpc10:379" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 400 | - | R0 CTRL | | //| 400 | 1326 | R0 DATA | | //| 400+E | 1326 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X380:"xpc10:380" 1327 : major_start_pcl=401 edge_private_start/end=-1/-1 exec=401 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X380:"xpc10:380" //res2: Thread=xpc10 state=X380:"xpc10:380" //*-------+------+---------+-------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------* //| 401 | - | R0 CTRL | | //| 401 | 1327 | R0 DATA | | //| 401+E | 1327 | W0 DATA | PLI:Eviction %u needed | //*-------+------+---------+-------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X381:"xpc10:381" 1328 : major_start_pcl=402 edge_private_start/end=-1/-1 exec=402 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X381:"xpc10:381" //res2: Thread=xpc10 state=X381:"xpc10:381" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 402 | - | R0 CTRL | | //| 402 | 1328 | R0 DATA | | //| 402+E | 1328 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X382:"xpc10:382" 1329 : major_start_pcl=403 edge_private_start/end=-1/-1 exec=403 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X382:"xpc10:382" //res2: Thread=xpc10 state=X382:"xpc10:382" //*-------+------+---------+----------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------* //| 403 | - | R0 CTRL | | //| 403 | 1329 | R0 DATA | | //| 403+E | 1329 | W0 DATA | TCin1.9_V_1 te=te:403 scalarw(1+TCin1.9_V_1) | //*-------+------+---------+----------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X383:"xpc10:383" 1330 : major_start_pcl=404 edge_private_start/end=-1/-1 exec=404 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X383:"xpc10:383" //res2: Thread=xpc10 state=X383:"xpc10:383" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 404 | - | R0 CTRL | | //| 404 | 1330 | R0 DATA | | //| 404+E | 1330 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X384:"xpc10:384" 1331 : major_start_pcl=405 edge_private_start/end=-1/-1 exec=405 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X384:"xpc10:384" //res2: Thread=xpc10 state=X384:"xpc10:384" //*-------+------+---------+------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------------------------------------* //| 405 | - | R0 CTRL | | //| 405 | 1331 | R0 DATA | | //| 405+E | 1331 | W0 DATA | @_SINT/CC/SCALbx24_stats_insert_evictions te=te:405 scalarw(E13) | //*-------+------+---------+------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X385:"xpc10:385" 1332 : major_start_pcl=406 edge_private_start/end=407/407 exec=407 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X385:"xpc10:385" //res2: Thread=xpc10 state=X385:"xpc10:385" //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* //| 406 | - | R0 CTRL | | //| 406 | 1332 | R0 DATA | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:406 read(TCin1.9_V_5) @_SINT/CC/MAPR10NoCE2_ARA0 te=te:406 read(TCin1.9_V_5) @_SINT/CC/MAPR10NoCE1_ARA0 te=te:40\ | //| | | | 6 read(TCin1.9_V_5) @_SINT/CC/MAPR10NoCE0_ARA0 te=te:406 read(TCin1.9_V_5) | //| 407 | 1332 | R1 DATA | | //| 407+E | 1332 | W0 DATA | TCin1.9_V_6 te=te:407 scalarw(E14) | //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X386:"xpc10:386" 1333 : major_start_pcl=408 edge_private_start/end=409/409 exec=409 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X386:"xpc10:386" //res2: Thread=xpc10 state=X386:"xpc10:386" //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* //| 408 | - | R0 CTRL | | //| 408 | 1333 | R0 DATA | @_SINT/CC/MAPR12NoCE3_ARB0 te=te:408 read(TCin1.9_V_5) @_SINT/CC/MAPR12NoCE2_ARB0 te=te:408 read(TCin1.9_V_5) @_SINT/CC/MAPR12NoCE1_ARB0 te=te:40\ | //| | | | 8 read(TCin1.9_V_5) @_SINT/CC/MAPR12NoCE0_ARB0 te=te:408 read(TCin1.9_V_5) | //| 409 | 1333 | R1 DATA | | //| 409+E | 1333 | W0 DATA | TCin1.9_V_7 te=te:409 scalarw(E15) | //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X387:"xpc10:387" 1335 : major_start_pcl=410 edge_private_start/end=-1/-1 exec=410 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X387:"xpc10:387" 1334 : major_start_pcl=410 edge_private_start/end=-1/-1 exec=410 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X387:"xpc10:387" //res2: Thread=xpc10 state=X387:"xpc10:387" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 410 | - | R0 CTRL | | //| 410 | 1334 | R0 DATA | | //| 410+E | 1334 | W0 DATA | | //| 410 | 1335 | R0 DATA | | //| 410+E | 1335 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X388:"xpc10:388" 1337 : major_start_pcl=411 edge_private_start/end=-1/-1 exec=411 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X388:"xpc10:388" 1336 : major_start_pcl=411 edge_private_start/end=-1/-1 exec=411 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X388:"xpc10:388" //res2: Thread=xpc10 state=X388:"xpc10:388" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 411 | - | R0 CTRL | | //| 411 | 1336 | R0 DATA | | //| 411+E | 1336 | W0 DATA | | //| 411 | 1337 | R0 DATA | | //| 411+E | 1337 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X389:"xpc10:389" 1338 : major_start_pcl=412 edge_private_start/end=413/413 exec=412 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X389:"xpc10:389" //res2: Thread=xpc10 state=X389:"xpc10:389" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 412 | - | R0 CTRL | | //| 412 | 1338 | R0 DATA | | //| 412+E | 1338 | W0 DATA | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:412 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 413 | 1338 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X390:"xpc10:390" 1339 : major_start_pcl=414 edge_private_start/end=-1/-1 exec=414 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X390:"xpc10:390" //res2: Thread=xpc10 state=X390:"xpc10:390" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 414 | - | R0 CTRL | | //| 414 | 1339 | R0 DATA | | //| 414+E | 1339 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X391:"xpc10:391" 1340 : major_start_pcl=415 edge_private_start/end=-1/-1 exec=415 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X391:"xpc10:391" //res2: Thread=xpc10 state=X391:"xpc10:391" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 415 | - | R0 CTRL | | //| 415 | 1340 | R0 DATA | | //| 415+E | 1340 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X392:"xpc10:392" 1342 : major_start_pcl=416 edge_private_start/end=-1/-1 exec=416 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X392:"xpc10:392" 1341 : major_start_pcl=416 edge_private_start/end=-1/-1 exec=416 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X392:"xpc10:392" //res2: Thread=xpc10 state=X392:"xpc10:392" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 416 | - | R0 CTRL | | //| 416 | 1341 | R0 DATA | | //| 416+E | 1341 | W0 DATA | | //| 416 | 1342 | R0 DATA | | //| 416+E | 1342 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X393:"xpc10:393" 1344 : major_start_pcl=417 edge_private_start/end=-1/-1 exec=417 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X393:"xpc10:393" 1343 : major_start_pcl=417 edge_private_start/end=-1/-1 exec=417 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X393:"xpc10:393" //res2: Thread=xpc10 state=X393:"xpc10:393" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 417 | - | R0 CTRL | | //| 417 | 1343 | R0 DATA | | //| 417+E | 1343 | W0 DATA | | //| 417 | 1344 | R0 DATA | | //| 417+E | 1344 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X394:"xpc10:394" 1345 : major_start_pcl=418 edge_private_start/end=419/419 exec=418 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X394:"xpc10:394" //res2: Thread=xpc10 state=X394:"xpc10:394" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 418 | - | R0 CTRL | | //| 418 | 1345 | R0 DATA | | //| 418+E | 1345 | W0 DATA | @_SINT/CC/MAPR10NoCE2_ARA0 te=te:418 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 419 | 1345 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X395:"xpc10:395" 1346 : major_start_pcl=420 edge_private_start/end=-1/-1 exec=420 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X395:"xpc10:395" //res2: Thread=xpc10 state=X395:"xpc10:395" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 420 | - | R0 CTRL | | //| 420 | 1346 | R0 DATA | | //| 420+E | 1346 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X396:"xpc10:396" 1347 : major_start_pcl=421 edge_private_start/end=-1/-1 exec=421 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X396:"xpc10:396" //res2: Thread=xpc10 state=X396:"xpc10:396" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 421 | - | R0 CTRL | | //| 421 | 1347 | R0 DATA | | //| 421+E | 1347 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X397:"xpc10:397" 1349 : major_start_pcl=422 edge_private_start/end=-1/-1 exec=422 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X397:"xpc10:397" 1348 : major_start_pcl=422 edge_private_start/end=-1/-1 exec=422 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X397:"xpc10:397" //res2: Thread=xpc10 state=X397:"xpc10:397" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 422 | - | R0 CTRL | | //| 422 | 1348 | R0 DATA | | //| 422+E | 1348 | W0 DATA | | //| 422 | 1349 | R0 DATA | | //| 422+E | 1349 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X398:"xpc10:398" 1351 : major_start_pcl=423 edge_private_start/end=-1/-1 exec=423 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X398:"xpc10:398" 1350 : major_start_pcl=423 edge_private_start/end=-1/-1 exec=423 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X398:"xpc10:398" //res2: Thread=xpc10 state=X398:"xpc10:398" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 423 | - | R0 CTRL | | //| 423 | 1350 | R0 DATA | | //| 423+E | 1350 | W0 DATA | | //| 423 | 1351 | R0 DATA | | //| 423+E | 1351 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X399:"xpc10:399" 1352 : major_start_pcl=424 edge_private_start/end=425/425 exec=424 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X399:"xpc10:399" //res2: Thread=xpc10 state=X399:"xpc10:399" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 424 | - | R0 CTRL | | //| 424 | 1352 | R0 DATA | | //| 424+E | 1352 | W0 DATA | @_SINT/CC/MAPR10NoCE1_ARA0 te=te:424 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 425 | 1352 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X400:"xpc10:400" 1353 : major_start_pcl=426 edge_private_start/end=-1/-1 exec=426 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X400:"xpc10:400" //res2: Thread=xpc10 state=X400:"xpc10:400" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 426 | - | R0 CTRL | | //| 426 | 1353 | R0 DATA | | //| 426+E | 1353 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X401:"xpc10:401" 1354 : major_start_pcl=427 edge_private_start/end=-1/-1 exec=427 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X401:"xpc10:401" //res2: Thread=xpc10 state=X401:"xpc10:401" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 427 | - | R0 CTRL | | //| 427 | 1354 | R0 DATA | | //| 427+E | 1354 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X402:"xpc10:402" 1356 : major_start_pcl=428 edge_private_start/end=-1/-1 exec=428 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X402:"xpc10:402" 1355 : major_start_pcl=428 edge_private_start/end=-1/-1 exec=428 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X402:"xpc10:402" //res2: Thread=xpc10 state=X402:"xpc10:402" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 428 | - | R0 CTRL | | //| 428 | 1355 | R0 DATA | | //| 428+E | 1355 | W0 DATA | | //| 428 | 1356 | R0 DATA | | //| 428+E | 1356 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X403:"xpc10:403" 1358 : major_start_pcl=429 edge_private_start/end=-1/-1 exec=429 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X403:"xpc10:403" 1357 : major_start_pcl=429 edge_private_start/end=-1/-1 exec=429 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X403:"xpc10:403" //res2: Thread=xpc10 state=X403:"xpc10:403" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 429 | - | R0 CTRL | | //| 429 | 1357 | R0 DATA | | //| 429+E | 1357 | W0 DATA | | //| 429 | 1358 | R0 DATA | | //| 429+E | 1358 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X404:"xpc10:404" 1359 : major_start_pcl=430 edge_private_start/end=431/431 exec=430 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X404:"xpc10:404" //res2: Thread=xpc10 state=X404:"xpc10:404" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 430 | - | R0 CTRL | | //| 430 | 1359 | R0 DATA | | //| 430+E | 1359 | W0 DATA | @_SINT/CC/MAPR10NoCE0_ARA0 te=te:430 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 431 | 1359 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X405:"xpc10:405" 1360 : major_start_pcl=432 edge_private_start/end=-1/-1 exec=432 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X405:"xpc10:405" //res2: Thread=xpc10 state=X405:"xpc10:405" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 432 | - | R0 CTRL | | //| 432 | 1360 | R0 DATA | | //| 432+E | 1360 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X406:"xpc10:406" 1361 : major_start_pcl=433 edge_private_start/end=-1/-1 exec=433 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X406:"xpc10:406" //res2: Thread=xpc10 state=X406:"xpc10:406" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 433 | - | R0 CTRL | | //| 433 | 1361 | R0 DATA | | //| 433+E | 1361 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X407:"xpc10:407" 1363 : major_start_pcl=434 edge_private_start/end=-1/-1 exec=434 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X407:"xpc10:407" 1362 : major_start_pcl=434 edge_private_start/end=-1/-1 exec=434 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X407:"xpc10:407" //res2: Thread=xpc10 state=X407:"xpc10:407" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 434 | - | R0 CTRL | | //| 434 | 1362 | R0 DATA | | //| 434+E | 1362 | W0 DATA | | //| 434 | 1363 | R0 DATA | | //| 434+E | 1363 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X408:"xpc10:408" 1365 : major_start_pcl=435 edge_private_start/end=-1/-1 exec=435 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X408:"xpc10:408" 1364 : major_start_pcl=435 edge_private_start/end=-1/-1 exec=435 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X408:"xpc10:408" //res2: Thread=xpc10 state=X408:"xpc10:408" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 435 | - | R0 CTRL | | //| 435 | 1364 | R0 DATA | | //| 435+E | 1364 | W0 DATA | | //| 435 | 1365 | R0 DATA | | //| 435+E | 1365 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X409:"xpc10:409" 1366 : major_start_pcl=436 edge_private_start/end=437/437 exec=436 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X409:"xpc10:409" //res2: Thread=xpc10 state=X409:"xpc10:409" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 436 | - | R0 CTRL | | //| 436 | 1366 | R0 DATA | | //| 436+E | 1366 | W0 DATA | @_SINT/CC/MAPR12NoCE3_ARB0 te=te:436 write(TCin1.9_V_5, C(TCin1.9_V_2)) | //| 437 | 1366 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X410:"xpc10:410" 1367 : major_start_pcl=438 edge_private_start/end=-1/-1 exec=438 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X410:"xpc10:410" //res2: Thread=xpc10 state=X410:"xpc10:410" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 438 | - | R0 CTRL | | //| 438 | 1367 | R0 DATA | | //| 438+E | 1367 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X411:"xpc10:411" 1368 : major_start_pcl=439 edge_private_start/end=-1/-1 exec=439 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X411:"xpc10:411" //res2: Thread=xpc10 state=X411:"xpc10:411" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 439 | - | R0 CTRL | | //| 439 | 1368 | R0 DATA | | //| 439+E | 1368 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X412:"xpc10:412" 1370 : major_start_pcl=440 edge_private_start/end=-1/-1 exec=440 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X412:"xpc10:412" 1369 : major_start_pcl=440 edge_private_start/end=-1/-1 exec=440 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X412:"xpc10:412" //res2: Thread=xpc10 state=X412:"xpc10:412" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 440 | - | R0 CTRL | | //| 440 | 1369 | R0 DATA | | //| 440+E | 1369 | W0 DATA | | //| 440 | 1370 | R0 DATA | | //| 440+E | 1370 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X413:"xpc10:413" 1372 : major_start_pcl=441 edge_private_start/end=-1/-1 exec=441 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X413:"xpc10:413" 1371 : major_start_pcl=441 edge_private_start/end=-1/-1 exec=441 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X413:"xpc10:413" //res2: Thread=xpc10 state=X413:"xpc10:413" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 441 | - | R0 CTRL | | //| 441 | 1371 | R0 DATA | | //| 441+E | 1371 | W0 DATA | | //| 441 | 1372 | R0 DATA | | //| 441+E | 1372 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X414:"xpc10:414" 1373 : major_start_pcl=442 edge_private_start/end=443/443 exec=442 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X414:"xpc10:414" //res2: Thread=xpc10 state=X414:"xpc10:414" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 442 | - | R0 CTRL | | //| 442 | 1373 | R0 DATA | | //| 442+E | 1373 | W0 DATA | @_SINT/CC/MAPR12NoCE2_ARB0 te=te:442 write(TCin1.9_V_5, C(TCin1.9_V_2)) | //| 443 | 1373 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X415:"xpc10:415" 1374 : major_start_pcl=444 edge_private_start/end=-1/-1 exec=444 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X415:"xpc10:415" //res2: Thread=xpc10 state=X415:"xpc10:415" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 444 | - | R0 CTRL | | //| 444 | 1374 | R0 DATA | | //| 444+E | 1374 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X416:"xpc10:416" 1375 : major_start_pcl=445 edge_private_start/end=-1/-1 exec=445 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X416:"xpc10:416" //res2: Thread=xpc10 state=X416:"xpc10:416" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 445 | - | R0 CTRL | | //| 445 | 1375 | R0 DATA | | //| 445+E | 1375 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X417:"xpc10:417" 1377 : major_start_pcl=446 edge_private_start/end=-1/-1 exec=446 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X417:"xpc10:417" 1376 : major_start_pcl=446 edge_private_start/end=-1/-1 exec=446 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X417:"xpc10:417" //res2: Thread=xpc10 state=X417:"xpc10:417" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 446 | - | R0 CTRL | | //| 446 | 1376 | R0 DATA | | //| 446+E | 1376 | W0 DATA | | //| 446 | 1377 | R0 DATA | | //| 446+E | 1377 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X418:"xpc10:418" 1379 : major_start_pcl=447 edge_private_start/end=-1/-1 exec=447 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X418:"xpc10:418" 1378 : major_start_pcl=447 edge_private_start/end=-1/-1 exec=447 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X418:"xpc10:418" //res2: Thread=xpc10 state=X418:"xpc10:418" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 447 | - | R0 CTRL | | //| 447 | 1378 | R0 DATA | | //| 447+E | 1378 | W0 DATA | | //| 447 | 1379 | R0 DATA | | //| 447+E | 1379 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X419:"xpc10:419" 1380 : major_start_pcl=448 edge_private_start/end=449/449 exec=448 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X419:"xpc10:419" //res2: Thread=xpc10 state=X419:"xpc10:419" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 448 | - | R0 CTRL | | //| 448 | 1380 | R0 DATA | | //| 448+E | 1380 | W0 DATA | @_SINT/CC/MAPR12NoCE1_ARB0 te=te:448 write(TCin1.9_V_5, C(TCin1.9_V_2)) | //| 449 | 1380 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X420:"xpc10:420" 1381 : major_start_pcl=450 edge_private_start/end=-1/-1 exec=450 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X420:"xpc10:420" //res2: Thread=xpc10 state=X420:"xpc10:420" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 450 | - | R0 CTRL | | //| 450 | 1381 | R0 DATA | | //| 450+E | 1381 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X421:"xpc10:421" 1382 : major_start_pcl=451 edge_private_start/end=-1/-1 exec=451 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X421:"xpc10:421" //res2: Thread=xpc10 state=X421:"xpc10:421" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 451 | - | R0 CTRL | | //| 451 | 1382 | R0 DATA | | //| 451+E | 1382 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X422:"xpc10:422" 1384 : major_start_pcl=452 edge_private_start/end=-1/-1 exec=452 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X422:"xpc10:422" 1383 : major_start_pcl=452 edge_private_start/end=-1/-1 exec=452 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X422:"xpc10:422" //res2: Thread=xpc10 state=X422:"xpc10:422" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 452 | - | R0 CTRL | | //| 452 | 1383 | R0 DATA | | //| 452+E | 1383 | W0 DATA | | //| 452 | 1384 | R0 DATA | | //| 452+E | 1384 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X423:"xpc10:423" 1386 : major_start_pcl=453 edge_private_start/end=-1/-1 exec=453 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X423:"xpc10:423" 1385 : major_start_pcl=453 edge_private_start/end=-1/-1 exec=453 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X423:"xpc10:423" //res2: Thread=xpc10 state=X423:"xpc10:423" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 453 | - | R0 CTRL | | //| 453 | 1385 | R0 DATA | | //| 453+E | 1385 | W0 DATA | | //| 453 | 1386 | R0 DATA | | //| 453+E | 1386 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X424:"xpc10:424" 1387 : major_start_pcl=454 edge_private_start/end=455/455 exec=454 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X424:"xpc10:424" //res2: Thread=xpc10 state=X424:"xpc10:424" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 454 | - | R0 CTRL | | //| 454 | 1387 | R0 DATA | | //| 454+E | 1387 | W0 DATA | @_SINT/CC/MAPR12NoCE0_ARB0 te=te:454 write(TCin1.9_V_5, C(TCin1.9_V_2)) | //| 455 | 1387 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X425:"xpc10:425" 1388 : major_start_pcl=456 edge_private_start/end=-1/-1 exec=456 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X425:"xpc10:425" //res2: Thread=xpc10 state=X425:"xpc10:425" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 456 | - | R0 CTRL | | //| 456 | 1388 | R0 DATA | | //| 456+E | 1388 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X426:"xpc10:426" 1389 : major_start_pcl=457 edge_private_start/end=-1/-1 exec=457 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X426:"xpc10:426" //res2: Thread=xpc10 state=X426:"xpc10:426" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 457 | - | R0 CTRL | | //| 457 | 1389 | R0 DATA | | //| 457+E | 1389 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X427:"xpc10:427" 1390 : major_start_pcl=458 edge_private_start/end=-1/-1 exec=458 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X427:"xpc10:427" //res2: Thread=xpc10 state=X427:"xpc10:427" //*-------+------+---------+-----------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------* //| 458 | - | R0 CTRL | | //| 458 | 1390 | R0 DATA | | //| 458+E | 1390 | W0 DATA | TCin1.9_V_0 te=te:458 scalarw(C(TCin1.9_V_6)) | //*-------+------+---------+-----------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X428:"xpc10:428" 1391 : major_start_pcl=459 edge_private_start/end=-1/-1 exec=459 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X428:"xpc10:428" //res2: Thread=xpc10 state=X428:"xpc10:428" //*-------+------+---------+-----------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------* //| 459 | - | R0 CTRL | | //| 459 | 1391 | R0 DATA | | //| 459+E | 1391 | W0 DATA | TCin1.9_V_2 te=te:459 scalarw(C(TCin1.9_V_7)) | //*-------+------+---------+-----------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X429:"xpc10:429" 1392 : major_start_pcl=460 edge_private_start/end=-1/-1 exec=460 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X429:"xpc10:429" //res2: Thread=xpc10 state=X429:"xpc10:429" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 460 | - | R0 CTRL | | //| 460 | 1392 | R0 DATA | | //| 460+E | 1392 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X430:"xpc10:430" 1393 : major_start_pcl=461 edge_private_start/end=-1/-1 exec=461 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X430:"xpc10:430" //res2: Thread=xpc10 state=X430:"xpc10:430" //*-------+------+---------+---------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------* //| 461 | - | R0 CTRL | | //| 461 | 1393 | R0 DATA | | //| 461+E | 1393 | W0 DATA | fastspilldup26 te=te:461 scalarw(E16) | //*-------+------+---------+---------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X431:"xpc10:431" 1394 : major_start_pcl=462 edge_private_start/end=-1/-1 exec=462 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X431:"xpc10:431" //res2: Thread=xpc10 state=X431:"xpc10:431" //*-------+------+---------+--------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------------------* //| 462 | - | R0 CTRL | | //| 462 | 1394 | R0 DATA | | //| 462+E | 1394 | W0 DATA | TCin1.9_V_3 te=te:462 scalarw(C(fastspilldup26)) | //*-------+------+---------+--------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X432:"xpc10:432" 1395 : major_start_pcl=463 edge_private_start/end=-1/-1 exec=463 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X432:"xpc10:432" //res2: Thread=xpc10 state=X432:"xpc10:432" //*-------+------+---------+---------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------------------------------------* //| 463 | - | R0 CTRL | | //| 463 | 1395 | R0 DATA | | //| 463+E | 1395 | W0 DATA | @_SINT/CC/SCALbx24_next_victim te=te:463 scalarw(C(fastspilldup26)) | //*-------+------+---------+---------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X433:"xpc10:433" 1396 : major_start_pcl=464 edge_private_start/end=-1/-1 exec=464 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X433:"xpc10:433" //res2: Thread=xpc10 state=X433:"xpc10:433" //*-------+------+---------+-----------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------------------------* //| 464 | - | R0 CTRL | | //| 464 | 1396 | R0 DATA | | //| 464+E | 1396 | W0 DATA | @_SINT/CC/SCALbx24_next_victim te=te:464 scalarw(TCin1.9_V_3%4) | //*-------+------+---------+-----------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X434:"xpc10:434" 1397 : major_start_pcl=465 edge_private_start/end=-1/-1 exec=465 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X434:"xpc10:434" //res2: Thread=xpc10 state=X434:"xpc10:434" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 465 | - | R0 CTRL | | //| 465 | 1397 | R0 DATA | | //| 465+E | 1397 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X435:"xpc10:435" 1398 : major_start_pcl=466 edge_private_start/end=-1/-1 exec=466 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X435:"xpc10:435" //res2: Thread=xpc10 state=X435:"xpc10:435" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 466 | - | R0 CTRL | | //| 466 | 1398 | R0 DATA | | //| 466+E | 1398 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X436:"xpc10:436" 1399 : major_start_pcl=467 edge_private_start/end=-1/-1 exec=467 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X436:"xpc10:436" //res2: Thread=xpc10 state=X436:"xpc10:436" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 467 | - | R0 CTRL | | //| 467 | 1399 | R0 DATA | | //| 467+E | 1399 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X437:"xpc10:437" 1400 : major_start_pcl=468 edge_private_start/end=-1/-1 exec=468 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X437:"xpc10:437" //res2: Thread=xpc10 state=X437:"xpc10:437" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 468 | - | R0 CTRL | | //| 468 | 1400 | R0 DATA | | //| 468+E | 1400 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X438:"xpc10:438" 1401 : major_start_pcl=469 edge_private_start/end=-1/-1 exec=469 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X438:"xpc10:438" //res2: Thread=xpc10 state=X438:"xpc10:438" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 469 | - | R0 CTRL | | //| 469 | 1401 | R0 DATA | | //| 469+E | 1401 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X439:"xpc10:439" 1402 : major_start_pcl=470 edge_private_start/end=-1/-1 exec=470 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X439:"xpc10:439" //res2: Thread=xpc10 state=X439:"xpc10:439" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 470 | - | R0 CTRL | | //| 470 | 1402 | R0 DATA | | //| 470+E | 1402 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X440:"xpc10:440" 1404 : major_start_pcl=471 edge_private_start/end=-1/-1 exec=471 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X440:"xpc10:440" 1403 : major_start_pcl=471 edge_private_start/end=-1/-1 exec=471 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X440:"xpc10:440" //res2: Thread=xpc10 state=X440:"xpc10:440" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 471 | - | R0 CTRL | | //| 471 | 1403 | R0 DATA | | //| 471+E | 1403 | W0 DATA | | //| 471 | 1404 | R0 DATA | | //| 471+E | 1404 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X441:"xpc10:441" 1406 : major_start_pcl=472 edge_private_start/end=-1/-1 exec=472 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X441:"xpc10:441" 1405 : major_start_pcl=472 edge_private_start/end=-1/-1 exec=472 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X441:"xpc10:441" //res2: Thread=xpc10 state=X441:"xpc10:441" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 472 | - | R0 CTRL | | //| 472 | 1405 | R0 DATA | | //| 472+E | 1405 | W0 DATA | | //| 472 | 1406 | R0 DATA | | //| 472+E | 1406 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X442:"xpc10:442" 1407 : major_start_pcl=473 edge_private_start/end=474/474 exec=473 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X442:"xpc10:442" //res2: Thread=xpc10 state=X442:"xpc10:442" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 473 | - | R0 CTRL | | //| 473 | 1407 | R0 DATA | | //| 473+E | 1407 | W0 DATA | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:473 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 474 | 1407 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X443:"xpc10:443" 1408 : major_start_pcl=475 edge_private_start/end=-1/-1 exec=475 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X443:"xpc10:443" //res2: Thread=xpc10 state=X443:"xpc10:443" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 475 | - | R0 CTRL | | //| 475 | 1408 | R0 DATA | | //| 475+E | 1408 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X444:"xpc10:444" 1409 : major_start_pcl=476 edge_private_start/end=-1/-1 exec=476 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X444:"xpc10:444" //res2: Thread=xpc10 state=X444:"xpc10:444" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 476 | - | R0 CTRL | | //| 476 | 1409 | R0 DATA | | //| 476+E | 1409 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X445:"xpc10:445" 1411 : major_start_pcl=477 edge_private_start/end=-1/-1 exec=477 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X445:"xpc10:445" 1410 : major_start_pcl=477 edge_private_start/end=-1/-1 exec=477 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X445:"xpc10:445" //res2: Thread=xpc10 state=X445:"xpc10:445" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 477 | - | R0 CTRL | | //| 477 | 1410 | R0 DATA | | //| 477+E | 1410 | W0 DATA | | //| 477 | 1411 | R0 DATA | | //| 477+E | 1411 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X446:"xpc10:446" 1413 : major_start_pcl=478 edge_private_start/end=-1/-1 exec=478 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X446:"xpc10:446" 1412 : major_start_pcl=478 edge_private_start/end=-1/-1 exec=478 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X446:"xpc10:446" //res2: Thread=xpc10 state=X446:"xpc10:446" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 478 | - | R0 CTRL | | //| 478 | 1412 | R0 DATA | | //| 478+E | 1412 | W0 DATA | | //| 478 | 1413 | R0 DATA | | //| 478+E | 1413 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X447:"xpc10:447" 1414 : major_start_pcl=479 edge_private_start/end=480/480 exec=479 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X447:"xpc10:447" //res2: Thread=xpc10 state=X447:"xpc10:447" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 479 | - | R0 CTRL | | //| 479 | 1414 | R0 DATA | | //| 479+E | 1414 | W0 DATA | @_SINT/CC/MAPR10NoCE2_ARA0 te=te:479 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 480 | 1414 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X448:"xpc10:448" 1415 : major_start_pcl=481 edge_private_start/end=-1/-1 exec=481 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X448:"xpc10:448" //res2: Thread=xpc10 state=X448:"xpc10:448" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 481 | - | R0 CTRL | | //| 481 | 1415 | R0 DATA | | //| 481+E | 1415 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X449:"xpc10:449" 1416 : major_start_pcl=482 edge_private_start/end=-1/-1 exec=482 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X449:"xpc10:449" //res2: Thread=xpc10 state=X449:"xpc10:449" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 482 | - | R0 CTRL | | //| 482 | 1416 | R0 DATA | | //| 482+E | 1416 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X450:"xpc10:450" 1418 : major_start_pcl=483 edge_private_start/end=-1/-1 exec=483 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X450:"xpc10:450" 1417 : major_start_pcl=483 edge_private_start/end=-1/-1 exec=483 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X450:"xpc10:450" //res2: Thread=xpc10 state=X450:"xpc10:450" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 483 | - | R0 CTRL | | //| 483 | 1417 | R0 DATA | | //| 483+E | 1417 | W0 DATA | | //| 483 | 1418 | R0 DATA | | //| 483+E | 1418 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X451:"xpc10:451" 1420 : major_start_pcl=484 edge_private_start/end=-1/-1 exec=484 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X451:"xpc10:451" 1419 : major_start_pcl=484 edge_private_start/end=-1/-1 exec=484 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X451:"xpc10:451" //res2: Thread=xpc10 state=X451:"xpc10:451" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 484 | - | R0 CTRL | | //| 484 | 1419 | R0 DATA | | //| 484+E | 1419 | W0 DATA | | //| 484 | 1420 | R0 DATA | | //| 484+E | 1420 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X452:"xpc10:452" 1421 : major_start_pcl=485 edge_private_start/end=486/486 exec=485 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X452:"xpc10:452" //res2: Thread=xpc10 state=X452:"xpc10:452" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 485 | - | R0 CTRL | | //| 485 | 1421 | R0 DATA | | //| 485+E | 1421 | W0 DATA | @_SINT/CC/MAPR10NoCE1_ARA0 te=te:485 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 486 | 1421 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X453:"xpc10:453" 1422 : major_start_pcl=487 edge_private_start/end=-1/-1 exec=487 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X453:"xpc10:453" //res2: Thread=xpc10 state=X453:"xpc10:453" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 487 | - | R0 CTRL | | //| 487 | 1422 | R0 DATA | | //| 487+E | 1422 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X454:"xpc10:454" 1423 : major_start_pcl=488 edge_private_start/end=-1/-1 exec=488 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X454:"xpc10:454" //res2: Thread=xpc10 state=X454:"xpc10:454" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 488 | - | R0 CTRL | | //| 488 | 1423 | R0 DATA | | //| 488+E | 1423 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X455:"xpc10:455" 1425 : major_start_pcl=489 edge_private_start/end=-1/-1 exec=489 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X455:"xpc10:455" 1424 : major_start_pcl=489 edge_private_start/end=-1/-1 exec=489 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X455:"xpc10:455" //res2: Thread=xpc10 state=X455:"xpc10:455" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 489 | - | R0 CTRL | | //| 489 | 1424 | R0 DATA | | //| 489+E | 1424 | W0 DATA | | //| 489 | 1425 | R0 DATA | | //| 489+E | 1425 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X456:"xpc10:456" 1427 : major_start_pcl=490 edge_private_start/end=-1/-1 exec=490 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X456:"xpc10:456" 1426 : major_start_pcl=490 edge_private_start/end=-1/-1 exec=490 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X456:"xpc10:456" //res2: Thread=xpc10 state=X456:"xpc10:456" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 490 | - | R0 CTRL | | //| 490 | 1426 | R0 DATA | | //| 490+E | 1426 | W0 DATA | | //| 490 | 1427 | R0 DATA | | //| 490+E | 1427 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X457:"xpc10:457" 1428 : major_start_pcl=491 edge_private_start/end=492/492 exec=491 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X457:"xpc10:457" //res2: Thread=xpc10 state=X457:"xpc10:457" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 491 | - | R0 CTRL | | //| 491 | 1428 | R0 DATA | | //| 491+E | 1428 | W0 DATA | @_SINT/CC/MAPR10NoCE0_ARA0 te=te:491 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 492 | 1428 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X458:"xpc10:458" 1429 : major_start_pcl=493 edge_private_start/end=-1/-1 exec=493 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X458:"xpc10:458" //res2: Thread=xpc10 state=X458:"xpc10:458" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 493 | - | R0 CTRL | | //| 493 | 1429 | R0 DATA | | //| 493+E | 1429 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X459:"xpc10:459" 1430 : major_start_pcl=494 edge_private_start/end=-1/-1 exec=494 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X459:"xpc10:459" //res2: Thread=xpc10 state=X459:"xpc10:459" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 494 | - | R0 CTRL | | //| 494 | 1430 | R0 DATA | | //| 494+E | 1430 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X460:"xpc10:460" 1432 : major_start_pcl=495 edge_private_start/end=-1/-1 exec=495 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X460:"xpc10:460" 1431 : major_start_pcl=495 edge_private_start/end=-1/-1 exec=495 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X460:"xpc10:460" //res2: Thread=xpc10 state=X460:"xpc10:460" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 495 | - | R0 CTRL | | //| 495 | 1431 | R0 DATA | | //| 495+E | 1431 | W0 DATA | | //| 495 | 1432 | R0 DATA | | //| 495+E | 1432 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X461:"xpc10:461" 1434 : major_start_pcl=496 edge_private_start/end=-1/-1 exec=496 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X461:"xpc10:461" 1433 : major_start_pcl=496 edge_private_start/end=-1/-1 exec=496 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X461:"xpc10:461" //res2: Thread=xpc10 state=X461:"xpc10:461" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 496 | - | R0 CTRL | | //| 496 | 1433 | R0 DATA | | //| 496+E | 1433 | W0 DATA | | //| 496 | 1434 | R0 DATA | | //| 496+E | 1434 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X462:"xpc10:462" 1435 : major_start_pcl=497 edge_private_start/end=498/498 exec=497 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X462:"xpc10:462" //res2: Thread=xpc10 state=X462:"xpc10:462" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 497 | - | R0 CTRL | | //| 497 | 1435 | R0 DATA | | //| 497+E | 1435 | W0 DATA | @_SINT/CC/MAPR12NoCE3_ARB0 te=te:497 write(TCin1.9_V_5, C(TCin1.9_V_2)) | //| 498 | 1435 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X463:"xpc10:463" 1436 : major_start_pcl=499 edge_private_start/end=-1/-1 exec=499 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X463:"xpc10:463" //res2: Thread=xpc10 state=X463:"xpc10:463" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 499 | - | R0 CTRL | | //| 499 | 1436 | R0 DATA | | //| 499+E | 1436 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X464:"xpc10:464" 1437 : major_start_pcl=500 edge_private_start/end=-1/-1 exec=500 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X464:"xpc10:464" //res2: Thread=xpc10 state=X464:"xpc10:464" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 500 | - | R0 CTRL | | //| 500 | 1437 | R0 DATA | | //| 500+E | 1437 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X465:"xpc10:465" 1439 : major_start_pcl=501 edge_private_start/end=-1/-1 exec=501 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X465:"xpc10:465" 1438 : major_start_pcl=501 edge_private_start/end=-1/-1 exec=501 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X465:"xpc10:465" //res2: Thread=xpc10 state=X465:"xpc10:465" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 501 | - | R0 CTRL | | //| 501 | 1438 | R0 DATA | | //| 501+E | 1438 | W0 DATA | | //| 501 | 1439 | R0 DATA | | //| 501+E | 1439 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X466:"xpc10:466" 1441 : major_start_pcl=502 edge_private_start/end=-1/-1 exec=502 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X466:"xpc10:466" 1440 : major_start_pcl=502 edge_private_start/end=-1/-1 exec=502 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X466:"xpc10:466" //res2: Thread=xpc10 state=X466:"xpc10:466" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 502 | - | R0 CTRL | | //| 502 | 1440 | R0 DATA | | //| 502+E | 1440 | W0 DATA | | //| 502 | 1441 | R0 DATA | | //| 502+E | 1441 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X467:"xpc10:467" 1442 : major_start_pcl=503 edge_private_start/end=504/504 exec=503 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X467:"xpc10:467" //res2: Thread=xpc10 state=X467:"xpc10:467" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 503 | - | R0 CTRL | | //| 503 | 1442 | R0 DATA | | //| 503+E | 1442 | W0 DATA | @_SINT/CC/MAPR12NoCE2_ARB0 te=te:503 write(TCin1.9_V_5, C(TCin1.9_V_2)) | //| 504 | 1442 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X468:"xpc10:468" 1443 : major_start_pcl=505 edge_private_start/end=-1/-1 exec=505 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X468:"xpc10:468" //res2: Thread=xpc10 state=X468:"xpc10:468" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 505 | - | R0 CTRL | | //| 505 | 1443 | R0 DATA | | //| 505+E | 1443 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X469:"xpc10:469" 1444 : major_start_pcl=506 edge_private_start/end=-1/-1 exec=506 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X469:"xpc10:469" //res2: Thread=xpc10 state=X469:"xpc10:469" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 506 | - | R0 CTRL | | //| 506 | 1444 | R0 DATA | | //| 506+E | 1444 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X470:"xpc10:470" 1446 : major_start_pcl=507 edge_private_start/end=-1/-1 exec=507 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X470:"xpc10:470" 1445 : major_start_pcl=507 edge_private_start/end=-1/-1 exec=507 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X470:"xpc10:470" //res2: Thread=xpc10 state=X470:"xpc10:470" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 507 | - | R0 CTRL | | //| 507 | 1445 | R0 DATA | | //| 507+E | 1445 | W0 DATA | | //| 507 | 1446 | R0 DATA | | //| 507+E | 1446 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X471:"xpc10:471" 1448 : major_start_pcl=508 edge_private_start/end=-1/-1 exec=508 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X471:"xpc10:471" 1447 : major_start_pcl=508 edge_private_start/end=-1/-1 exec=508 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X471:"xpc10:471" //res2: Thread=xpc10 state=X471:"xpc10:471" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 508 | - | R0 CTRL | | //| 508 | 1447 | R0 DATA | | //| 508+E | 1447 | W0 DATA | | //| 508 | 1448 | R0 DATA | | //| 508+E | 1448 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X472:"xpc10:472" 1449 : major_start_pcl=509 edge_private_start/end=510/510 exec=509 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X472:"xpc10:472" //res2: Thread=xpc10 state=X472:"xpc10:472" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 509 | - | R0 CTRL | | //| 509 | 1449 | R0 DATA | | //| 509+E | 1449 | W0 DATA | @_SINT/CC/MAPR12NoCE1_ARB0 te=te:509 write(TCin1.9_V_5, C(TCin1.9_V_2)) | //| 510 | 1449 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X473:"xpc10:473" 1450 : major_start_pcl=511 edge_private_start/end=-1/-1 exec=511 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X473:"xpc10:473" //res2: Thread=xpc10 state=X473:"xpc10:473" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 511 | - | R0 CTRL | | //| 511 | 1450 | R0 DATA | | //| 511+E | 1450 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X474:"xpc10:474" 1451 : major_start_pcl=512 edge_private_start/end=-1/-1 exec=512 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X474:"xpc10:474" //res2: Thread=xpc10 state=X474:"xpc10:474" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 512 | - | R0 CTRL | | //| 512 | 1451 | R0 DATA | | //| 512+E | 1451 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X475:"xpc10:475" 1453 : major_start_pcl=513 edge_private_start/end=-1/-1 exec=513 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X475:"xpc10:475" 1452 : major_start_pcl=513 edge_private_start/end=-1/-1 exec=513 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X475:"xpc10:475" //res2: Thread=xpc10 state=X475:"xpc10:475" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 513 | - | R0 CTRL | | //| 513 | 1452 | R0 DATA | | //| 513+E | 1452 | W0 DATA | | //| 513 | 1453 | R0 DATA | | //| 513+E | 1453 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X476:"xpc10:476" 1455 : major_start_pcl=514 edge_private_start/end=-1/-1 exec=514 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X476:"xpc10:476" 1454 : major_start_pcl=514 edge_private_start/end=-1/-1 exec=514 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X476:"xpc10:476" //res2: Thread=xpc10 state=X476:"xpc10:476" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 514 | - | R0 CTRL | | //| 514 | 1454 | R0 DATA | | //| 514+E | 1454 | W0 DATA | | //| 514 | 1455 | R0 DATA | | //| 514+E | 1455 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X477:"xpc10:477" 1456 : major_start_pcl=515 edge_private_start/end=516/516 exec=515 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X477:"xpc10:477" //res2: Thread=xpc10 state=X477:"xpc10:477" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 515 | - | R0 CTRL | | //| 515 | 1456 | R0 DATA | | //| 515+E | 1456 | W0 DATA | @_SINT/CC/MAPR12NoCE0_ARB0 te=te:515 write(TCin1.9_V_5, C(TCin1.9_V_2)) | //| 516 | 1456 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X478:"xpc10:478" 1457 : major_start_pcl=517 edge_private_start/end=-1/-1 exec=517 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X478:"xpc10:478" //res2: Thread=xpc10 state=X478:"xpc10:478" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 517 | - | R0 CTRL | | //| 517 | 1457 | R0 DATA | | //| 517+E | 1457 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X479:"xpc10:479" 1458 : major_start_pcl=518 edge_private_start/end=-1/-1 exec=518 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X479:"xpc10:479" //res2: Thread=xpc10 state=X479:"xpc10:479" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 518 | - | R0 CTRL | | //| 518 | 1458 | R0 DATA | | //| 518+E | 1458 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X480:"xpc10:480" 1459 : major_start_pcl=519 edge_private_start/end=-1/-1 exec=519 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X480:"xpc10:480" //res2: Thread=xpc10 state=X480:"xpc10:480" //*-------+------+---------+--------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+--------------------------------------* //| 519 | - | R0 CTRL | | //| 519 | 1459 | R0 DATA | | //| 519+E | 1459 | W0 DATA | TCi1._SPILL_256 te=te:519 scalarw(0) | //*-------+------+---------+--------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X481:"xpc10:481" 1460 : major_start_pcl=520 edge_private_start/end=-1/-1 exec=520 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X481:"xpc10:481" //res2: Thread=xpc10 state=X481:"xpc10:481" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 520 | - | R0 CTRL | | //| 520 | 1460 | R0 DATA | | //| 520+E | 1460 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X482:"xpc10:482" 1461 : major_start_pcl=521 edge_private_start/end=-1/-1 exec=521 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X482:"xpc10:482" //res2: Thread=xpc10 state=X482:"xpc10:482" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 521 | - | R0 CTRL | | //| 521 | 1461 | R0 DATA | | //| 521+E | 1461 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X483:"xpc10:483" 1462 : major_start_pcl=522 edge_private_start/end=-1/-1 exec=522 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X483:"xpc10:483" //res2: Thread=xpc10 state=X483:"xpc10:483" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 522 | - | R0 CTRL | | //| 522 | 1462 | R0 DATA | | //| 522+E | 1462 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X484:"xpc10:484" 1463 : major_start_pcl=523 edge_private_start/end=-1/-1 exec=523 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X484:"xpc10:484" //res2: Thread=xpc10 state=X484:"xpc10:484" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 523 | - | R0 CTRL | | //| 523 | 1463 | R0 DATA | | //| 523+E | 1463 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X485:"xpc10:485" 1464 : major_start_pcl=524 edge_private_start/end=-1/-1 exec=524 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X485:"xpc10:485" //res2: Thread=xpc10 state=X485:"xpc10:485" //*-------+------+---------+---------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+---------------------------------------------------------------* //| 524 | - | R0 CTRL | | //| 524 | 1464 | R0 DATA | | //| 524+E | 1464 | W0 DATA | @_SINT/CC/SCALbx24_stats_insert_probes te=te:524 scalarw(E17) | //*-------+------+---------+---------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X486:"xpc10:486" 1465 : major_start_pcl=525 edge_private_start/end=-1/-1 exec=525 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X486:"xpc10:486" //res2: Thread=xpc10 state=X486:"xpc10:486" //*-------+------+---------+-------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------* //| 525 | - | R0 CTRL | | //| 525 | 1465 | R0 DATA | | //| 525+E | 1465 | W0 DATA | TCha6.10_V_0 te=te:525 scalarw(E18) | //*-------+------+---------+-------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X487:"xpc10:487" 1467 : major_start_pcl=526 edge_private_start/end=-1/-1 exec=526 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X487:"xpc10:487" 1466 : major_start_pcl=526 edge_private_start/end=-1/-1 exec=526 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X487:"xpc10:487" //res2: Thread=xpc10 state=X487:"xpc10:487" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 526 | - | R0 CTRL | | //| 526 | 1466 | R0 DATA | | //| 526+E | 1466 | W0 DATA | | //| 526 | 1467 | R0 DATA | | //| 526+E | 1467 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X488:"xpc10:488" 1468 : major_start_pcl=527 edge_private_start/end=-1/-1 exec=527 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X488:"xpc10:488" //res2: Thread=xpc10 state=X488:"xpc10:488" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 527 | - | R0 CTRL | | //| 527 | 1468 | R0 DATA | | //| 527+E | 1468 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X489:"xpc10:489" 1469 : major_start_pcl=528 edge_private_start/end=-1/-1 exec=528 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X489:"xpc10:489" //res2: Thread=xpc10 state=X489:"xpc10:489" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 528 | - | R0 CTRL | | //| 528 | 1469 | R0 DATA | | //| 528+E | 1469 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X490:"xpc10:490" 1470 : major_start_pcl=529 edge_private_start/end=-1/-1 exec=529 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X490:"xpc10:490" //res2: Thread=xpc10 state=X490:"xpc10:490" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 529 | - | R0 CTRL | | //| 529 | 1470 | R0 DATA | | //| 529+E | 1470 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X491:"xpc10:491" 1471 : major_start_pcl=530 edge_private_start/end=-1/-1 exec=530 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X491:"xpc10:491" //res2: Thread=xpc10 state=X491:"xpc10:491" //*-------+------+---------+-----------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------------------* //| 530 | - | R0 CTRL | | //| 530 | 1471 | R0 DATA | | //| 530+E | 1471 | W0 DATA | TCha6.10_V_0 te=te:530 scalarw(-TCha6.10_V_0) | //*-------+------+---------+-----------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X492:"xpc10:492" 1472 : major_start_pcl=531 edge_private_start/end=-1/-1 exec=531 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X492:"xpc10:492" //res2: Thread=xpc10 state=X492:"xpc10:492" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 531 | - | R0 CTRL | | //| 531 | 1472 | R0 DATA | | //| 531+E | 1472 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X493:"xpc10:493" 1473 : major_start_pcl=532 edge_private_start/end=-1/-1 exec=532 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X493:"xpc10:493" //res2: Thread=xpc10 state=X493:"xpc10:493" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 532 | - | R0 CTRL | | //| 532 | 1473 | R0 DATA | | //| 532+E | 1473 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X494:"xpc10:494" 1474 : major_start_pcl=533 edge_private_start/end=-1/-1 exec=533 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X494:"xpc10:494" //res2: Thread=xpc10 state=X494:"xpc10:494" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 533 | - | R0 CTRL | | //| 533 | 1474 | R0 DATA | | //| 533+E | 1474 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X495:"xpc10:495" 1475 : major_start_pcl=534 edge_private_start/end=535/535 exec=535 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X495:"xpc10:495" //res2: Thread=xpc10 state=X495:"xpc10:495" //*-------+------+---------+----------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------------------* //| 534 | - | R0 CTRL | | //| 534 | 1475 | R0 DATA | isMODULUS10 te=te:534 *fixed-func-ALU*(TCha6.10_V_0, E9) | //| 535 | 1475 | R1 DATA | | //| 535+E | 1475 | W0 DATA | TCin1.9_V_5 te=te:535 scalarw(E19) | //*-------+------+---------+----------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X496:"xpc10:496" 1477 : major_start_pcl=536 edge_private_start/end=-1/-1 exec=537 (dend=1) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X496:"xpc10:496" 1476 : major_start_pcl=536 edge_private_start/end=-1/-1 exec=537 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X496:"xpc10:496" //res2: Thread=xpc10 state=X496:"xpc10:496" //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* //| 536 | - | R0 CTRL | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:536 read(TCin1.9_V_5) @_SINT/CC/MAPR10NoCE2_ARA0 te=te:536 read(TCin1.9_V_5) @_SINT/CC/MAPR10NoCE1_ARA0 te=te:53\ | //| | | | 6 read(TCin1.9_V_5) @_SINT/CC/MAPR10NoCE0_ARA0 te=te:536 read(TCin1.9_V_5) | //| 537 | - | R1 CTRL | | //| 536 | 1476 | R0 DATA | | //| 537 | 1476 | R1 DATA | | //| 537+E | 1476 | W0 DATA | | //| 536 | 1477 | R0 DATA | | //| 537 | 1477 | R1 DATA | | //| 537+E | 1477 | W0 DATA | | //*-------+------+---------+----------------------------------------------------------------------------------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X497:"xpc10:497" 1478 : major_start_pcl=538 edge_private_start/end=-1/-1 exec=538 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X497:"xpc10:497" //res2: Thread=xpc10 state=X497:"xpc10:497" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 538 | - | R0 CTRL | | //| 538 | 1478 | R0 DATA | | //| 538+E | 1478 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X498:"xpc10:498" 1479 : major_start_pcl=539 edge_private_start/end=-1/-1 exec=539 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X498:"xpc10:498" //res2: Thread=xpc10 state=X498:"xpc10:498" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 539 | - | R0 CTRL | | //| 539 | 1479 | R0 DATA | | //| 539+E | 1479 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X499:"xpc10:499" 1480 : major_start_pcl=540 edge_private_start/end=-1/-1 exec=540 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X499:"xpc10:499" //res2: Thread=xpc10 state=X499:"xpc10:499" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 540 | - | R0 CTRL | | //| 540 | 1480 | R0 DATA | | //| 540+E | 1480 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X500:"xpc10:500" 1482 : major_start_pcl=541 edge_private_start/end=-1/-1 exec=541 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X500:"xpc10:500" 1481 : major_start_pcl=541 edge_private_start/end=-1/-1 exec=541 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X500:"xpc10:500" //res2: Thread=xpc10 state=X500:"xpc10:500" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 541 | - | R0 CTRL | | //| 541 | 1481 | R0 DATA | | //| 541+E | 1481 | W0 DATA | | //| 541 | 1482 | R0 DATA | | //| 541+E | 1482 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X501:"xpc10:501" 1484 : major_start_pcl=542 edge_private_start/end=-1/-1 exec=542 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X501:"xpc10:501" 1483 : major_start_pcl=542 edge_private_start/end=-1/-1 exec=542 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X501:"xpc10:501" //res2: Thread=xpc10 state=X501:"xpc10:501" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 542 | - | R0 CTRL | | //| 542 | 1483 | R0 DATA | | //| 542+E | 1483 | W0 DATA | | //| 542 | 1484 | R0 DATA | | //| 542+E | 1484 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X502:"xpc10:502" 1485 : major_start_pcl=543 edge_private_start/end=544/544 exec=543 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X502:"xpc10:502" //res2: Thread=xpc10 state=X502:"xpc10:502" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 543 | - | R0 CTRL | | //| 543 | 1485 | R0 DATA | | //| 543+E | 1485 | W0 DATA | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:543 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 544 | 1485 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X503:"xpc10:503" 1486 : major_start_pcl=545 edge_private_start/end=-1/-1 exec=545 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X503:"xpc10:503" //res2: Thread=xpc10 state=X503:"xpc10:503" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 545 | - | R0 CTRL | | //| 545 | 1486 | R0 DATA | | //| 545+E | 1486 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X504:"xpc10:504" 1487 : major_start_pcl=546 edge_private_start/end=-1/-1 exec=546 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X504:"xpc10:504" //res2: Thread=xpc10 state=X504:"xpc10:504" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 546 | - | R0 CTRL | | //| 546 | 1487 | R0 DATA | | //| 546+E | 1487 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X505:"xpc10:505" 1489 : major_start_pcl=547 edge_private_start/end=-1/-1 exec=547 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X505:"xpc10:505" 1488 : major_start_pcl=547 edge_private_start/end=-1/-1 exec=547 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X505:"xpc10:505" //res2: Thread=xpc10 state=X505:"xpc10:505" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 547 | - | R0 CTRL | | //| 547 | 1488 | R0 DATA | | //| 547+E | 1488 | W0 DATA | | //| 547 | 1489 | R0 DATA | | //| 547+E | 1489 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X506:"xpc10:506" 1491 : major_start_pcl=548 edge_private_start/end=-1/-1 exec=548 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X506:"xpc10:506" 1490 : major_start_pcl=548 edge_private_start/end=-1/-1 exec=548 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X506:"xpc10:506" //res2: Thread=xpc10 state=X506:"xpc10:506" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 548 | - | R0 CTRL | | //| 548 | 1490 | R0 DATA | | //| 548+E | 1490 | W0 DATA | | //| 548 | 1491 | R0 DATA | | //| 548+E | 1491 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X507:"xpc10:507" 1492 : major_start_pcl=549 edge_private_start/end=550/550 exec=549 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X507:"xpc10:507" //res2: Thread=xpc10 state=X507:"xpc10:507" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 549 | - | R0 CTRL | | //| 549 | 1492 | R0 DATA | | //| 549+E | 1492 | W0 DATA | @_SINT/CC/MAPR10NoCE2_ARA0 te=te:549 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 550 | 1492 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X508:"xpc10:508" 1493 : major_start_pcl=551 edge_private_start/end=-1/-1 exec=551 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X508:"xpc10:508" //res2: Thread=xpc10 state=X508:"xpc10:508" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 551 | - | R0 CTRL | | //| 551 | 1493 | R0 DATA | | //| 551+E | 1493 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X509:"xpc10:509" 1494 : major_start_pcl=552 edge_private_start/end=-1/-1 exec=552 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X509:"xpc10:509" //res2: Thread=xpc10 state=X509:"xpc10:509" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 552 | - | R0 CTRL | | //| 552 | 1494 | R0 DATA | | //| 552+E | 1494 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X510:"xpc10:510" 1496 : major_start_pcl=553 edge_private_start/end=-1/-1 exec=553 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X510:"xpc10:510" 1495 : major_start_pcl=553 edge_private_start/end=-1/-1 exec=553 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X510:"xpc10:510" //res2: Thread=xpc10 state=X510:"xpc10:510" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 553 | - | R0 CTRL | | //| 553 | 1495 | R0 DATA | | //| 553+E | 1495 | W0 DATA | | //| 553 | 1496 | R0 DATA | | //| 553+E | 1496 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X511:"xpc10:511" 1498 : major_start_pcl=554 edge_private_start/end=-1/-1 exec=554 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X511:"xpc10:511" 1497 : major_start_pcl=554 edge_private_start/end=-1/-1 exec=554 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X511:"xpc10:511" //res2: Thread=xpc10 state=X511:"xpc10:511" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 554 | - | R0 CTRL | | //| 554 | 1497 | R0 DATA | | //| 554+E | 1497 | W0 DATA | | //| 554 | 1498 | R0 DATA | | //| 554+E | 1498 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X512:"xpc10:512" 1499 : major_start_pcl=555 edge_private_start/end=556/556 exec=555 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X512:"xpc10:512" //res2: Thread=xpc10 state=X512:"xpc10:512" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 555 | - | R0 CTRL | | //| 555 | 1499 | R0 DATA | | //| 555+E | 1499 | W0 DATA | @_SINT/CC/MAPR10NoCE1_ARA0 te=te:555 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 556 | 1499 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X513:"xpc10:513" 1500 : major_start_pcl=557 edge_private_start/end=-1/-1 exec=557 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X513:"xpc10:513" //res2: Thread=xpc10 state=X513:"xpc10:513" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 557 | - | R0 CTRL | | //| 557 | 1500 | R0 DATA | | //| 557+E | 1500 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X514:"xpc10:514" 1501 : major_start_pcl=558 edge_private_start/end=-1/-1 exec=558 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X514:"xpc10:514" //res2: Thread=xpc10 state=X514:"xpc10:514" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 558 | - | R0 CTRL | | //| 558 | 1501 | R0 DATA | | //| 558+E | 1501 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X515:"xpc10:515" 1503 : major_start_pcl=559 edge_private_start/end=-1/-1 exec=559 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X515:"xpc10:515" 1502 : major_start_pcl=559 edge_private_start/end=-1/-1 exec=559 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X515:"xpc10:515" //res2: Thread=xpc10 state=X515:"xpc10:515" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 559 | - | R0 CTRL | | //| 559 | 1502 | R0 DATA | | //| 559+E | 1502 | W0 DATA | | //| 559 | 1503 | R0 DATA | | //| 559+E | 1503 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X516:"xpc10:516" 1505 : major_start_pcl=560 edge_private_start/end=-1/-1 exec=560 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X516:"xpc10:516" 1504 : major_start_pcl=560 edge_private_start/end=-1/-1 exec=560 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X516:"xpc10:516" //res2: Thread=xpc10 state=X516:"xpc10:516" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 560 | - | R0 CTRL | | //| 560 | 1504 | R0 DATA | | //| 560+E | 1504 | W0 DATA | | //| 560 | 1505 | R0 DATA | | //| 560+E | 1505 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X517:"xpc10:517" 1506 : major_start_pcl=561 edge_private_start/end=562/562 exec=561 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X517:"xpc10:517" //res2: Thread=xpc10 state=X517:"xpc10:517" //*-------+------+---------+-------------------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------------------* //| 561 | - | R0 CTRL | | //| 561 | 1506 | R0 DATA | | //| 561+E | 1506 | W0 DATA | @_SINT/CC/MAPR10NoCE0_ARA0 te=te:561 write(TCin1.9_V_5, C(TCin1.9_V_0)) | //| 562 | 1506 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X518:"xpc10:518" 1507 : major_start_pcl=563 edge_private_start/end=-1/-1 exec=563 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X518:"xpc10:518" //res2: Thread=xpc10 state=X518:"xpc10:518" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 563 | - | R0 CTRL | | //| 563 | 1507 | R0 DATA | | //| 563+E | 1507 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X519:"xpc10:519" 1508 : major_start_pcl=564 edge_private_start/end=-1/-1 exec=564 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X519:"xpc10:519" //res2: Thread=xpc10 state=X519:"xpc10:519" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 564 | - | R0 CTRL | | //| 564 | 1508 | R0 DATA | | //| 564+E | 1508 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X520:"xpc10:520" 1509 : major_start_pcl=565 edge_private_start/end=-1/-1 exec=565 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X520:"xpc10:520" //res2: Thread=xpc10 state=X520:"xpc10:520" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 565 | - | R0 CTRL | | //| 565 | 1509 | R0 DATA | | //| 565+E | 1509 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X521:"xpc10:521" 1510 : major_start_pcl=566 edge_private_start/end=-1/-1 exec=566 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X521:"xpc10:521" //res2: Thread=xpc10 state=X521:"xpc10:521" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 566 | - | R0 CTRL | | //| 566 | 1510 | R0 DATA | | //| 566+E | 1510 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X522:"xpc10:522" 1511 : major_start_pcl=567 edge_private_start/end=-1/-1 exec=567 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X522:"xpc10:522" //res2: Thread=xpc10 state=X522:"xpc10:522" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 567 | - | R0 CTRL | | //| 567 | 1511 | R0 DATA | | //| 567+E | 1511 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X523:"xpc10:523" 1512 : major_start_pcl=568 edge_private_start/end=-1/-1 exec=568 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X523:"xpc10:523" //res2: Thread=xpc10 state=X523:"xpc10:523" //*-------+------+---------+----------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+----------------------------------------------* //| 568 | - | R0 CTRL | | //| 568 | 1512 | R0 DATA | | //| 568+E | 1512 | W0 DATA | TCin1.9_V_4 te=te:568 scalarw(1+TCin1.9_V_4) | //*-------+------+---------+----------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X524:"xpc10:524" 1513 : major_start_pcl=569 edge_private_start/end=-1/-1 exec=569 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X524:"xpc10:524" //res2: Thread=xpc10 state=X524:"xpc10:524" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 569 | - | R0 CTRL | | //| 569 | 1513 | R0 DATA | | //| 569+E | 1513 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X525:"xpc10:525" 1514 : major_start_pcl=570 edge_private_start/end=-1/-1 exec=570 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X525:"xpc10:525" //res2: Thread=xpc10 state=X525:"xpc10:525" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 570 | - | R0 CTRL | | //| 570 | 1514 | R0 DATA | | //| 570+E | 1514 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X526:"xpc10:526" 1515 : major_start_pcl=571 edge_private_start/end=-1/-1 exec=571 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X526:"xpc10:526" //res2: Thread=xpc10 state=X526:"xpc10:526" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 571 | - | R0 CTRL | | //| 571 | 1515 | R0 DATA | | //| 571+E | 1515 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X527:"xpc10:527" 1516 : major_start_pcl=572 edge_private_start/end=-1/-1 exec=572 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X527:"xpc10:527" //res2: Thread=xpc10 state=X527:"xpc10:527" //*-------+------+---------+-----------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-----------------------------------* //| 572 | - | R0 CTRL | | //| 572 | 1516 | R0 DATA | | //| 572+E | 1516 | W0 DATA | TCCl0.12_V_1 te=te:572 scalarw(0) | //*-------+------+---------+-----------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X528:"xpc10:528" 1517 : major_start_pcl=573 edge_private_start/end=-1/-1 exec=573 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X528:"xpc10:528" //res2: Thread=xpc10 state=X528:"xpc10:528" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 573 | - | R0 CTRL | | //| 573 | 1517 | R0 DATA | | //| 573+E | 1517 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X529:"xpc10:529" 1518 : major_start_pcl=574 edge_private_start/end=-1/-1 exec=574 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X529:"xpc10:529" //res2: Thread=xpc10 state=X529:"xpc10:529" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 574 | - | R0 CTRL | | //| 574 | 1518 | R0 DATA | | //| 574+E | 1518 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X530:"xpc10:530" 1519 : major_start_pcl=575 edge_private_start/end=-1/-1 exec=575 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X530:"xpc10:530" //res2: Thread=xpc10 state=X530:"xpc10:530" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 575 | - | R0 CTRL | | //| 575 | 1519 | R0 DATA | | //| 575+E | 1519 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X531:"xpc10:531" 1521 : major_start_pcl=576 edge_private_start/end=-1/-1 exec=576 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X531:"xpc10:531" 1520 : major_start_pcl=576 edge_private_start/end=-1/-1 exec=576 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X531:"xpc10:531" //res2: Thread=xpc10 state=X531:"xpc10:531" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 576 | - | R0 CTRL | | //| 576 | 1520 | R0 DATA | | //| 576+E | 1520 | W0 DATA | | //| 576 | 1521 | R0 DATA | | //| 576+E | 1521 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X532:"xpc10:532" 1522 : major_start_pcl=577 edge_private_start/end=-1/-1 exec=577 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X532:"xpc10:532" //res2: Thread=xpc10 state=X532:"xpc10:532" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 577 | - | R0 CTRL | | //| 577 | 1522 | R0 DATA | | //| 577+E | 1522 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X533:"xpc10:533" 1523 : major_start_pcl=578 edge_private_start/end=-1/-1 exec=578 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X533:"xpc10:533" //res2: Thread=xpc10 state=X533:"xpc10:533" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 578 | - | R0 CTRL | | //| 578 | 1523 | R0 DATA | | //| 578+E | 1523 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X534:"xpc10:534" 1524 : major_start_pcl=579 edge_private_start/end=-1/-1 exec=579 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X534:"xpc10:534" //res2: Thread=xpc10 state=X534:"xpc10:534" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 579 | - | R0 CTRL | | //| 579 | 1524 | R0 DATA | | //| 579+E | 1524 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X535:"xpc10:535" 1526 : major_start_pcl=580 edge_private_start/end=-1/-1 exec=580 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X535:"xpc10:535" 1525 : major_start_pcl=580 edge_private_start/end=-1/-1 exec=580 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X535:"xpc10:535" //res2: Thread=xpc10 state=X535:"xpc10:535" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 580 | - | R0 CTRL | | //| 580 | 1525 | R0 DATA | | //| 580+E | 1525 | W0 DATA | | //| 580 | 1526 | R0 DATA | | //| 580+E | 1526 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X536:"xpc10:536" 1528 : major_start_pcl=581 edge_private_start/end=-1/-1 exec=581 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X536:"xpc10:536" 1527 : major_start_pcl=581 edge_private_start/end=-1/-1 exec=581 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X536:"xpc10:536" //res2: Thread=xpc10 state=X536:"xpc10:536" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 581 | - | R0 CTRL | | //| 581 | 1527 | R0 DATA | | //| 581+E | 1527 | W0 DATA | | //| 581 | 1528 | R0 DATA | | //| 581+E | 1528 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X537:"xpc10:537" 1529 : major_start_pcl=582 edge_private_start/end=583/583 exec=582 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X537:"xpc10:537" //res2: Thread=xpc10 state=X537:"xpc10:537" //*-------+------+---------+-------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------* //| 582 | - | R0 CTRL | | //| 582 | 1529 | R0 DATA | | //| 582+E | 1529 | W0 DATA | @_SINT/CC/MAPR10NoCE3_ARA0 te=te:582 write(TCCl0.12_V_0, 0) | //| 583 | 1529 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X538:"xpc10:538" 1530 : major_start_pcl=584 edge_private_start/end=-1/-1 exec=584 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X538:"xpc10:538" //res2: Thread=xpc10 state=X538:"xpc10:538" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 584 | - | R0 CTRL | | //| 584 | 1530 | R0 DATA | | //| 584+E | 1530 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X539:"xpc10:539" 1531 : major_start_pcl=585 edge_private_start/end=-1/-1 exec=585 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X539:"xpc10:539" //res2: Thread=xpc10 state=X539:"xpc10:539" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 585 | - | R0 CTRL | | //| 585 | 1531 | R0 DATA | | //| 585+E | 1531 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X540:"xpc10:540" 1533 : major_start_pcl=586 edge_private_start/end=-1/-1 exec=586 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X540:"xpc10:540" 1532 : major_start_pcl=586 edge_private_start/end=-1/-1 exec=586 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X540:"xpc10:540" //res2: Thread=xpc10 state=X540:"xpc10:540" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 586 | - | R0 CTRL | | //| 586 | 1532 | R0 DATA | | //| 586+E | 1532 | W0 DATA | | //| 586 | 1533 | R0 DATA | | //| 586+E | 1533 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X541:"xpc10:541" 1535 : major_start_pcl=587 edge_private_start/end=-1/-1 exec=587 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X541:"xpc10:541" 1534 : major_start_pcl=587 edge_private_start/end=-1/-1 exec=587 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X541:"xpc10:541" //res2: Thread=xpc10 state=X541:"xpc10:541" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 587 | - | R0 CTRL | | //| 587 | 1534 | R0 DATA | | //| 587+E | 1534 | W0 DATA | | //| 587 | 1535 | R0 DATA | | //| 587+E | 1535 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X542:"xpc10:542" 1536 : major_start_pcl=588 edge_private_start/end=589/589 exec=588 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X542:"xpc10:542" //res2: Thread=xpc10 state=X542:"xpc10:542" //*-------+------+---------+-------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------* //| 588 | - | R0 CTRL | | //| 588 | 1536 | R0 DATA | | //| 588+E | 1536 | W0 DATA | @_SINT/CC/MAPR10NoCE2_ARA0 te=te:588 write(TCCl0.12_V_0, 0) | //| 589 | 1536 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X543:"xpc10:543" 1537 : major_start_pcl=590 edge_private_start/end=-1/-1 exec=590 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X543:"xpc10:543" //res2: Thread=xpc10 state=X543:"xpc10:543" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 590 | - | R0 CTRL | | //| 590 | 1537 | R0 DATA | | //| 590+E | 1537 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X544:"xpc10:544" 1538 : major_start_pcl=591 edge_private_start/end=-1/-1 exec=591 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X544:"xpc10:544" //res2: Thread=xpc10 state=X544:"xpc10:544" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 591 | - | R0 CTRL | | //| 591 | 1538 | R0 DATA | | //| 591+E | 1538 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X545:"xpc10:545" 1540 : major_start_pcl=592 edge_private_start/end=-1/-1 exec=592 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X545:"xpc10:545" 1539 : major_start_pcl=592 edge_private_start/end=-1/-1 exec=592 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X545:"xpc10:545" //res2: Thread=xpc10 state=X545:"xpc10:545" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 592 | - | R0 CTRL | | //| 592 | 1539 | R0 DATA | | //| 592+E | 1539 | W0 DATA | | //| 592 | 1540 | R0 DATA | | //| 592+E | 1540 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X546:"xpc10:546" 1542 : major_start_pcl=593 edge_private_start/end=-1/-1 exec=593 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X546:"xpc10:546" 1541 : major_start_pcl=593 edge_private_start/end=-1/-1 exec=593 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X546:"xpc10:546" //res2: Thread=xpc10 state=X546:"xpc10:546" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 593 | - | R0 CTRL | | //| 593 | 1541 | R0 DATA | | //| 593+E | 1541 | W0 DATA | | //| 593 | 1542 | R0 DATA | | //| 593+E | 1542 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X547:"xpc10:547" 1543 : major_start_pcl=594 edge_private_start/end=595/595 exec=594 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X547:"xpc10:547" //res2: Thread=xpc10 state=X547:"xpc10:547" //*-------+------+---------+-------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------* //| 594 | - | R0 CTRL | | //| 594 | 1543 | R0 DATA | | //| 594+E | 1543 | W0 DATA | @_SINT/CC/MAPR10NoCE1_ARA0 te=te:594 write(TCCl0.12_V_0, 0) | //| 595 | 1543 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X548:"xpc10:548" 1544 : major_start_pcl=596 edge_private_start/end=-1/-1 exec=596 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X548:"xpc10:548" //res2: Thread=xpc10 state=X548:"xpc10:548" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 596 | - | R0 CTRL | | //| 596 | 1544 | R0 DATA | | //| 596+E | 1544 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X549:"xpc10:549" 1545 : major_start_pcl=597 edge_private_start/end=-1/-1 exec=597 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X549:"xpc10:549" //res2: Thread=xpc10 state=X549:"xpc10:549" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 597 | - | R0 CTRL | | //| 597 | 1545 | R0 DATA | | //| 597+E | 1545 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X550:"xpc10:550" 1547 : major_start_pcl=598 edge_private_start/end=-1/-1 exec=598 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X550:"xpc10:550" 1546 : major_start_pcl=598 edge_private_start/end=-1/-1 exec=598 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X550:"xpc10:550" //res2: Thread=xpc10 state=X550:"xpc10:550" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 598 | - | R0 CTRL | | //| 598 | 1546 | R0 DATA | | //| 598+E | 1546 | W0 DATA | | //| 598 | 1547 | R0 DATA | | //| 598+E | 1547 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X551:"xpc10:551" 1549 : major_start_pcl=599 edge_private_start/end=-1/-1 exec=599 (dend=0) //, Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X551:"xpc10:551" 1548 : major_start_pcl=599 edge_private_start/end=-1/-1 exec=599 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X551:"xpc10:551" //res2: Thread=xpc10 state=X551:"xpc10:551" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 599 | - | R0 CTRL | | //| 599 | 1548 | R0 DATA | | //| 599+E | 1548 | W0 DATA | | //| 599 | 1549 | R0 DATA | | //| 599+E | 1549 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X552:"xpc10:552" 1550 : major_start_pcl=600 edge_private_start/end=601/601 exec=600 (dend=1) //Simple greedy schedule for res2: Thread=xpc10 state=X552:"xpc10:552" //res2: Thread=xpc10 state=X552:"xpc10:552" //*-------+------+---------+-------------------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+-------------------------------------------------------------* //| 600 | - | R0 CTRL | | //| 600 | 1550 | R0 DATA | | //| 600+E | 1550 | W0 DATA | @_SINT/CC/MAPR10NoCE0_ARA0 te=te:600 write(TCCl0.12_V_0, 0) | //| 601 | 1550 | W1 DATA | | //*-------+------+---------+-------------------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X553:"xpc10:553" 1551 : major_start_pcl=602 edge_private_start/end=-1/-1 exec=602 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X553:"xpc10:553" //res2: Thread=xpc10 state=X553:"xpc10:553" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 602 | - | R0 CTRL | | //| 602 | 1551 | R0 DATA | | //| 602+E | 1551 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X554:"xpc10:554" 1552 : major_start_pcl=603 edge_private_start/end=-1/-1 exec=603 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X554:"xpc10:554" //res2: Thread=xpc10 state=X554:"xpc10:554" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 603 | - | R0 CTRL | | //| 603 | 1552 | R0 DATA | | //| 603+E | 1552 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X555:"xpc10:555" 1553 : major_start_pcl=604 edge_private_start/end=-1/-1 exec=604 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X555:"xpc10:555" //res2: Thread=xpc10 state=X555:"xpc10:555" //*-------+------+---------+------------------------------------------------* //| pc | eno | Phaser | Work | //*-------+------+---------+------------------------------------------------* //| 604 | - | R0 CTRL | | //| 604 | 1553 | R0 DATA | | //| 604+E | 1553 | W0 DATA | TCCl0.12_V_1 te=te:604 scalarw(1+TCCl0.12_V_1) | //*-------+------+---------+------------------------------------------------* // //---------------------------------------------------------- //Report from restructure2::: // Absolute key numbers for scheduled edge res2: Thread=xpc10 state=X556:"xpc10:556" 1554 : major_start_pcl=605 edge_private_start/end=-1/-1 exec=605 (dend=0) //Simple greedy schedule for res2: Thread=xpc10 state=X556:"xpc10:556" //res2: Thread=xpc10 state=X556:"xpc10:556" //*-------+------+---------+------* //| pc | eno | Phaser | Work | //*-------+------+---------+------* //| 605 | - | R0 CTRL | | //| 605 | 1554 | R0 DATA | | //| 605+E | 1554 | W0 DATA | | //*-------+------+---------+------* // //---------------------------------------------------------- //Report from enumbers::: //Concise expression alias report. // // E1 =.= S32'715136305+S32'2147001325*@_SINT/CC/SCALbx28_seed // // E2 =.= C(@_SINT/CC/SCALbx28_seed) // // E3 =.= C64u(@64_US/CC/SCALbx28_dk) // // E4 =.= 1+@_SINT/CC/SCALbx24_stats_lookups // // E5 =.= C(COND(@$s@_SINT/CC/SCALbx22_ARB0[TClo6.9_V_0]==X3:"MS", @_SINT/CC/MAPR12NoCE3_ARB0[TClo6.9_V_1], COND(@$s@_SINT/CC/SCALbx22_ARB0[TClo6.9_V_0]==X2:"MS", @_SINT/CC/MAPR12NoCE2_ARB0[TClo6.9_V_1], COND(@$s@_SINT/CC/SCALbx22_ARB0[TClo6.9_V_0]==X1:"MS", @_SINT/CC/MAPR12NoCE1_ARB0[TClo6.9_V_1], COND(@$s@_SINT/CC/SCALbx22_ARB0[TClo6.9_V_0]==X0:"MS", @_SINT/CC/MAPR12NoCE0_ARB0[TClo6.9_V_1], *UNDEF))))) // // E6 =.= C64u(@64_US/CC/SCALbx26_ARA0[TClo6.9_V_2]) // // E7 =.= 1+@_SINT/CC/SCALbx24_stats_lookup_probes // // E8 =.= TTMT4Main_V_11+51*TClo6.9_V_0 // // E9 =.= @_SINT/CC/SCALbx24_waycap // // E10 =.= TCha3.10_V_0%@_SINT/CC/SCALbx24_waycap // // E11 =.= C(@_SINT/CC/SCALbx24_next_free) // // E12 =.= 1+@_SINT/CC/SCALbx24_stats_inserts // // E13 =.= 1+@_SINT/CC/SCALbx24_stats_insert_evictions // // E14 =.= C(COND(@$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]==X3:"MS", @_SINT/CC/MAPR10NoCE3_ARA0[TCin1.9_V_5], COND(@$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]==X2:"MS", @_SINT/CC/MAPR10NoCE2_ARA0[TCin1.9_V_5], COND(@$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]==X1:"MS", @_SINT/CC/MAPR10NoCE1_ARA0[TCin1.9_V_5], COND(@$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]==X0:"MS", @_SINT/CC/MAPR10NoCE0_ARA0[TCin1.9_V_5], *UNDEF))))) // // E15 =.= C(COND(@$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]==X3:"MS", @_SINT/CC/MAPR12NoCE3_ARB0[TCin1.9_V_5], COND(@$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]==X2:"MS", @_SINT/CC/MAPR12NoCE2_ARB0[TCin1.9_V_5], COND(@$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]==X1:"MS", @_SINT/CC/MAPR12NoCE1_ARB0[TCin1.9_V_5], COND(@$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]==X0:"MS", @_SINT/CC/MAPR12NoCE0_ARB0[TCin1.9_V_5], *UNDEF))))) // // E16 =.= 1+@_SINT/CC/SCALbx24_next_victim // // E17 =.= 1+@_SINT/CC/SCALbx24_stats_insert_probes // // E18 =.= TCin1.9_V_0+51*TCin1.9_V_4 // // E19 =.= TCha6.10_V_0%@_SINT/CC/SCALbx24_waycap // // E20 =.= @$s@_SINT/CC/SCALbx20_ARA0[0]==X3:"MS" // // E21 =.= @$s@_SINT/CC/SCALbx20_ARA0[0]!=X3:"MS" // // E22 =.= @$s@_SINT/CC/SCALbx20_ARA0[0]==X2:"MS" // // E23 =.= @$s@_SINT/CC/SCALbx20_ARA0[0]!=X2:"MS" // // E24 =.= @$s@_SINT/CC/SCALbx20_ARA0[0]==X1:"MS" // // E25 =.= @$s@_SINT/CC/SCALbx20_ARA0[0]!=X1:"MS" // // E26 =.= @$s@_SINT/CC/SCALbx20_ARA0[0]==X0:"MS" // // E27 =.= @$s@_SINT/CC/SCALbx20_ARA0[0]!=X0:"MS" // // E28 =.= @$s@_SINT/CC/SCALbx20_ARA0[1]==X3:"MS" // // E29 =.= @$s@_SINT/CC/SCALbx20_ARA0[1]!=X3:"MS" // // E30 =.= @$s@_SINT/CC/SCALbx20_ARA0[1]==X2:"MS" // // E31 =.= @$s@_SINT/CC/SCALbx20_ARA0[1]!=X2:"MS" // // E32 =.= @$s@_SINT/CC/SCALbx20_ARA0[1]==X1:"MS" // // E33 =.= @$s@_SINT/CC/SCALbx20_ARA0[1]!=X1:"MS" // // E34 =.= @$s@_SINT/CC/SCALbx20_ARA0[1]==X0:"MS" // // E35 =.= @$s@_SINT/CC/SCALbx20_ARA0[1]!=X0:"MS" // // E36 =.= @$s@_SINT/CC/SCALbx20_ARA0[2]==X3:"MS" // // E37 =.= @$s@_SINT/CC/SCALbx20_ARA0[2]!=X3:"MS" // // E38 =.= @$s@_SINT/CC/SCALbx20_ARA0[2]==X2:"MS" // // E39 =.= @$s@_SINT/CC/SCALbx20_ARA0[2]!=X2:"MS" // // E40 =.= @$s@_SINT/CC/SCALbx20_ARA0[2]==X1:"MS" // // E41 =.= @$s@_SINT/CC/SCALbx20_ARA0[2]!=X1:"MS" // // E42 =.= @$s@_SINT/CC/SCALbx20_ARA0[2]==X0:"MS" // // E43 =.= @$s@_SINT/CC/SCALbx20_ARA0[2]!=X0:"MS" // // E44 =.= @$s@_SINT/CC/SCALbx20_ARA0[3]==X3:"MS" // // E45 =.= @$s@_SINT/CC/SCALbx20_ARA0[3]!=X3:"MS" // // E46 =.= @$s@_SINT/CC/SCALbx20_ARA0[3]==X2:"MS" // // E47 =.= @$s@_SINT/CC/SCALbx20_ARA0[3]!=X2:"MS" // // E48 =.= @$s@_SINT/CC/SCALbx20_ARA0[3]==X1:"MS" // // E49 =.= @$s@_SINT/CC/SCALbx20_ARA0[3]!=X1:"MS" // // E50 =.= @$s@_SINT/CC/SCALbx20_ARA0[3]==X0:"MS" // // E51 =.= @$s@_SINT/CC/SCALbx20_ARA0[3]!=X0:"MS" // // E52 =.= TCCl0.12_V_0>=@_SINT/CC/SCALbx24_waycap // // E53 =.= TCCl0.12_V_0<@_SINT/CC/SCALbx24_waycap // // E54 =.= TTMT4Main_V_10>=S32'21845 // // E55 =.= TTMT4Main_V_12==TTMT4Main_V_13 // // E56 =.= TTMT4Main_V_12!=TTMT4Main_V_13 // // E57 =.= {[|-|isMODULUS10RRh10vld]; [|-|isMODULUS10_rdy]} // // E58 =.= TTMT4Main_V_11==(COND(@$s@_SINT/CC/SCALbx20_ARA0[TClo6.9_V_0]==X3:"MS", COND(xpc10nz==X325:"US", @_SINT/CC/MAPR10NoCE3_ARA0_RDD0, SINTCCMAPR10NoCE3ARA0RRh10hold), COND(@$s@_SINT/CC/SCALbx20_ARA0[TClo6.9_V_0]==X2:"MS", COND(xpc10nz==X325:"US", @_SINT/CC/MAPR10NoCE2_ARA0_RDD0, SINTCCMAPR10NoCE2ARA0RRh10hold), COND(@$s@_SINT/CC/SCALbx20_ARA0[TClo6.9_V_0]==X1:"MS", COND(xpc10nz==X325:"US", @_SINT/CC/MAPR10NoCE1_ARA0_RDD0, SINTCCMAPR10NoCE1ARA0RRh10hold), COND(@$s@_SINT/CC/SCALbx20_ARA0[TClo6.9_V_0]==X0:"MS", COND(xpc10nz==X325:"US", @_SINT/CC/MAPR10NoCE0_ARA0_RDD0, SINTCCMAPR10NoCE0ARA0RRh10hold), *UNDEF))))) // // E59 =.= TTMT4Main_V_11!=(COND(@$s@_SINT/CC/SCALbx20_ARA0[TClo6.9_V_0]==X3:"MS", COND(xpc10nz==X325:"US", @_SINT/CC/MAPR10NoCE3_ARA0_RDD0, SINTCCMAPR10NoCE3ARA0RRh10hold), COND(@$s@_SINT/CC/SCALbx20_ARA0[TClo6.9_V_0]==X2:"MS", COND(xpc10nz==X325:"US", @_SINT/CC/MAPR10NoCE2_ARA0_RDD0, SINTCCMAPR10NoCE2ARA0RRh10hold), COND(@$s@_SINT/CC/SCALbx20_ARA0[TClo6.9_V_0]==X1:"MS", COND(xpc10nz==X325:"US", @_SINT/CC/MAPR10NoCE1_ARA0_RDD0, SINTCCMAPR10NoCE1ARA0RRh10hold), COND(@$s@_SINT/CC/SCALbx20_ARA0[TClo6.9_V_0]==X0:"MS", COND(xpc10nz==X325:"US", @_SINT/CC/MAPR10NoCE0_ARA0_RDD0, SINTCCMAPR10NoCE0ARA0RRh10hold), *UNDEF))))) // // E60 =.= @_SINT/CC/SCALbx24_next_free==4*@_SINT/CC/SCALbx24_waycap // // E61 =.= @_SINT/CC/SCALbx24_next_free!=4*@_SINT/CC/SCALbx24_waycap // // E62 =.= @$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]==X3:"MS" // // E63 =.= @$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]!=X3:"MS" // // E64 =.= @$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]==X2:"MS" // // E65 =.= @$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]!=X2:"MS" // // E66 =.= @$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]==X1:"MS" // // E67 =.= @$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]!=X1:"MS" // // E68 =.= @$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]==X0:"MS" // // E69 =.= @$s@_SINT/CC/SCALbx20_ARA0[@_SINT/CC/SCALbx24_next_victim]!=X0:"MS" // // E70 =.= @$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]==X3:"MS" // // E71 =.= @$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]!=X3:"MS" // // E72 =.= @$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]==X2:"MS" // // E73 =.= @$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]!=X2:"MS" // // E74 =.= @$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]==X1:"MS" // // E75 =.= @$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]!=X1:"MS" // // E76 =.= @$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]==X0:"MS" // // E77 =.= @$s@_SINT/CC/SCALbx22_ARB0[@_SINT/CC/SCALbx24_next_victim]!=X0:"MS" // // E78 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X3:"MS" // // E79 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]!=X3:"MS" // // E80 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X2:"MS" // // E81 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]!=X2:"MS" // // E82 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X1:"MS" // // E83 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]!=X1:"MS" // // E84 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X0:"MS" // // E85 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]!=X0:"MS" // // E86 =.= @$s@_SINT/CC/SCALbx22_ARB0[TCin1.9_V_4]==X3:"MS" // // E87 =.= @$s@_SINT/CC/SCALbx22_ARB0[TCin1.9_V_4]!=X3:"MS" // // E88 =.= @$s@_SINT/CC/SCALbx22_ARB0[TCin1.9_V_4]==X2:"MS" // // E89 =.= @$s@_SINT/CC/SCALbx22_ARB0[TCin1.9_V_4]!=X2:"MS" // // E90 =.= @$s@_SINT/CC/SCALbx22_ARB0[TCin1.9_V_4]==X1:"MS" // // E91 =.= @$s@_SINT/CC/SCALbx22_ARB0[TCin1.9_V_4]!=X1:"MS" // // E92 =.= @$s@_SINT/CC/SCALbx22_ARB0[TCin1.9_V_4]==X0:"MS" // // E93 =.= @$s@_SINT/CC/SCALbx22_ARB0[TCin1.9_V_4]!=X0:"MS" // // E94 =.= {[@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X3:"MS", xpc10nz==X537:"US", !(|-|@_SINT/CC/MAPR10NoCE3_ARA0_RDD0)]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X3:"MS", xpc10nz!=X537:"US", !(|-|SINTCCMAPR10NoCE3ARA0RRh10hold)]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X1:"MS", xpc10nz==X537:"US", !(|-|@_SINT/CC/MAPR10NoCE1_ARA0_RDD0)]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X1:"MS", xpc10nz!=X537:"US", !(|-|SINTCCMAPR10NoCE1ARA0RRh10hold)]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]!=X3:"MS", @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]!=X2:"MS", @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]!=X1:"MS", @$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]!=X0:"MS"]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X0:"MS", xpc10nz==X537:"US", !(|-|@_SINT/CC/MAPR10NoCE0_ARA0_RDD0)]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X0:"MS", xpc10nz!=X537:"US", !(|-|SINTCCMAPR10NoCE0ARA0RRh10hold)]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X2:"MS", xpc10nz==X537:"US", !(|-|@_SINT/CC/MAPR10NoCE2_ARA0_RDD0)]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X2:"MS", xpc10nz!=X537:"US", !(|-|SINTCCMAPR10NoCE2ARA0RRh10hold)]} // // E95 =.= {[@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X3:"MS", xpc10nz==X537:"US", |-|@_SINT/CC/MAPR10NoCE3_ARA0_RDD0]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X3:"MS", xpc10nz!=X537:"US", |-|SINTCCMAPR10NoCE3ARA0RRh10hold]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X1:"MS", xpc10nz==X537:"US", |-|@_SINT/CC/MAPR10NoCE1_ARA0_RDD0]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X1:"MS", xpc10nz!=X537:"US", |-|SINTCCMAPR10NoCE1ARA0RRh10hold]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X0:"MS", xpc10nz==X537:"US", |-|@_SINT/CC/MAPR10NoCE0_ARA0_RDD0]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X0:"MS", xpc10nz!=X537:"US", |-|SINTCCMAPR10NoCE0ARA0RRh10hold]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X2:"MS", xpc10nz==X537:"US", |-|@_SINT/CC/MAPR10NoCE2_ARA0_RDD0]; [@$s@_SINT/CC/SCALbx20_ARA0[TCin1.9_V_4]==X2:"MS", xpc10nz!=X537:"US", |-|SINTCCMAPR10NoCE2ARA0RRh10hold]} // // E96 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCCl0.12_V_1]==X3:"MS" // // E97 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCCl0.12_V_1]!=X3:"MS" // // E98 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCCl0.12_V_1]==X2:"MS" // // E99 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCCl0.12_V_1]!=X2:"MS" // // E100 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCCl0.12_V_1]==X1:"MS" // // E101 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCCl0.12_V_1]!=X1:"MS" // // E102 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCCl0.12_V_1]==X0:"MS" // // E103 =.= @$s@_SINT/CC/SCALbx20_ARA0[TCCl0.12_V_1]!=X0:"MS" // //---------------------------------------------------------- //Report from verilog_render::: //1 vectors of width 10 // //34 vectors of width 1 // //28 vectors of width 32 // //10 vectors of width 64 // //1 vectors of width 15 // //8 vectors of width 13 // //8 array locations of width 32 // //928 bits in scalar variables // //Total state bits in module = 2883 bits. // //354 continuously assigned (wire/non-state) bits // //Total number of leaf cells = 0 // // eof (HPR L/S Verilog)