HOME       UP       PREV       FURTHER NOTES       NEXT (Higher level: Behavioural or Logical ?)  

High-Level Synthesis

Manual RTL design expression needs

Performing a Time for Space re-folding (i.e. doing the same job with more/less silicon over less/more time) requires a complete redesign at this level!

Can we do better ? Want to use High-Level Synthesis.


(C) 2008-10, DJ Greaves, University of Cambridge, Computer Laboratory.