ACS SoC D/M Tool Setup ----------------------------- For SystemC SYSCDIR=/usr/groups/han/clteach/systemc/systemc-2.2.0 You can use the pre-built systemc distribution provided above (gcc 4.1.2 64 bit) or download and compile your own from systemc.org. For the TLM2.0 code, no binaries are needed since everything is a library. The first, get-you-started example is here: /usr/groups/han/clteach/systemc-helloworld This filesystem is automounted and it cannot initially be seen until you 'cd' to it or open the 'file:/usr/groups/han/clteach' url in firefox, or similar. ----------------------------- For gtkwave VCD waveform viewing you should now find gtkwave properly installed on some mphil machines. Currently it is certainly installed on 'kono' at least. Or else build it yourself. You can also view VCDs using modelsim's viewer. ----------------------------- For RTL under Modelsim: Perhaps use : export LM_LICENSE_FILE=/usr/groups/ecad2005/local/licenses/mentor-license.dat export PATH=$PATH:/usr/groups/ecad/mentor/modelsim/modelsimSE6.5c/modeltech/bin OR, this seems to work better: 'source /usr/groups/ecad/setup.bash' It should set many things up for you. HMMM THE ABOVE TWO DO NOT WORK ANY MORE BUT THE FOLLOWING WORKED AT LUNCHIME 18th JAN 2010. OR Use export PATH=$PATH:/usr/groups/ecad/mentor/modelsim/modelsimSE6.5c/modeltech/bin export LM_LICENSE_FILE=/usr/groups/ecad/licenses/mentor-license.dat See /usr/groups/ecad/mentor/modelsim/modelsimSE6.5c/modeltech/docs The following should run something: echo "module TEST(); initial \$display(\"Hello World\"); endmodule" > test.v echo "run -all;exit" > run.do rm -rf simple_lib; vlib simple_lib vmap simple_lib simple_lib vlog -work simple_lib test.v vsim -c simple_lib.TEST -do "run.do" The above works by first deleting any old library, then creating a new one, called simple_lib and then creating a component called TEST and putting in the library and then reading it out and running it. For those interested in using modelsim extensively, you should learn how to open the GUI and view the waveforms, otherwise just use Verilog's $display statement for simple output. # Use vcom instead of vlog if you prefer to use VHDL. # vcom -work simple_lib ...vhdl ----------------------------- For PSL experiments, use modelsim and try out the supplied demo /usr/groups/ecad/mentor/modelsim/current/modeltech/examples/psl/verilog/modeling/dram_controller Alternatively, a really small, get started fragment of PSL is in fourhot.zip. http://www.cl.cam.ac.uk/teaching/0910/P35/toolsetup/fourhot.zip /usr/groups/han/clteach/fourhot.zip ----------------------------- Verilator is installed: it converts synthesisable Verilog RTL to an executable C model. /usr/groups/han/clteach/verilator ----------------------------- Download perhaps according to http://www.embecosm.com/download/ean1.html The ORPSOC 1K GCC toolchain is installed in /usr/groups/han/clteach/orpsoc/... -----------------------------