// CBG Orangepath HPR/LS System // Verilog output file generated at 31/05/2012 14:48:58 // KiwiC (.net/CIL/C# to Verilog/SystemC compiler): Version alpha 54k: 16th-May-2012 Unix 2.6.32.34 // /home/djg11/d320/hprls/kiwic/distro/lib/kiwic.exe /home/djg11/d320/hprls/kiwic/distro/support/Kiwi.dll -default-pause-mode=bblock nbody.exe KiwiFormGraphics.shim.dll -vnl nbody.v module nbody(output reg KiwiFormGraphics_setget_pixel_req, input KiwiFormGraphics_setget_pixel_ack, input signed [31:0] KiwiFormGraphics_setget_pixel_return, output reg [7:0] KiwiFormGraphics_setget_pixel_wdata, output reg KiwiFormGraphics_setget_pixel_readf, output reg [31:0] KiwiFormGraphics_setget_pixel_y, output reg [31:0] KiwiFormGraphics_setget_pixel_x, input clk, input reset); reg [7:0] nbody_dcol; reg Tnpdi6_9_V_0; integer Tnpdi6_9_V_1; reg Tnpdi8_9_V_0; integer Tnpdi8_9_V_1; integer Tnpru1_15_V_0; integer Tnpru1_15_V_1; integer Tnpru1_15_V_2; integer Tnpru1_18_V_0; integer Tnpru1_18_V_1; integer Tnpru1_18_V_2; integer Tnpc0_SPILL_256; integer TnpcSPILL10_256; integer Tnpc2_SPILL_256; integer TnpcSPILL12_256; integer TnpcSPILL14_256; integer TnpcSPILL16_256; integer Tnpd6_SPILL_256; integer Tnpd6_SPILL_257; integer Tnpd8_SPILL_256; integer Tnpd8_SPILL_257; reg signed [31:0] DSINT_AX_nbody_planetpos_y_c42[2:0]; reg signed [31:0] DSINT_AX_nbody_planetpos_x_c42[2:0]; reg signed [31:0] DSINT_AX_nbody_planetvel_y_c42[2:0]; reg signed [31:0] DSINT_AX_nbody_planetvel_x_c42[2:0]; reg [31:0] nbplanet_AX_CC_SOL[2:0]; reg [9:0] xpc10; wire signed [31:0] hprpin10; wire signed [31:0] hprpin12; always @(posedge clk ) begin //Start HPR KiwiFormGraphics.shim.dll if (reset) begin nbody_dcol <= 8'd0; Tnpc0_SPILL_256 <= 32'd0; TnpcSPILL10_256 <= 32'd0; Tnpc2_SPILL_256 <= 32'd0; TnpcSPILL12_256 <= 32'd0; KiwiFormGraphics_setget_pixel_wdata <= 8'd0; KiwiFormGraphics_setget_pixel_readf <= 1'd0; KiwiFormGraphics_setget_pixel_y <= 32'd0; KiwiFormGraphics_setget_pixel_x <= 32'd0; TnpcSPILL14_256 <= 32'd0; KiwiFormGraphics_setget_pixel_req <= 1'd0; TnpcSPILL16_256 <= 32'd0; Tnpd6_SPILL_256 <= 32'd0; Tnpdi6_9_V_0 <= 1'd0; Tnpru1_18_V_2 <= 9'd0; Tnpru1_18_V_0 <= 32'd0; Tnpru1_18_V_1 <= 32'd0; Tnpru1_15_V_2 <= 9'd0; Tnpdi6_9_V_1 <= 32'd0; Tnpd6_SPILL_257 <= 32'd0; Tnpru1_15_V_0 <= 32'd0; Tnpd8_SPILL_256 <= 32'd0; Tnpdi8_9_V_0 <= 1'd0; Tnpdi8_9_V_1 <= 32'd0; Tnpd8_SPILL_257 <= 32'd0; Tnpru1_15_V_1 <= 32'd0; xpc10 <= 10'd0; end else case (xpc10) 0/*0:bashint*/: begin $display("Start nbody bodies=%d", 3); nbody_dcol <= 0; Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 1/*1:xpc10:1*/; nbplanet_AX_CC_SOL[0] <= 1; nbplanet_AX_CC_SOL[1] <= 0; nbplanet_AX_CC_SOL[2] <= 2; DSINT_AX_nbody_planetpos_y_c42[2] <= -853; DSINT_AX_nbody_planetpos_y_c42[0] <= -939; DSINT_AX_nbody_planetpos_y_c42[1] <= 0; DSINT_AX_nbody_planetpos_x_c42[2] <= 806; DSINT_AX_nbody_planetpos_x_c42[0] <= 1939; DSINT_AX_nbody_planetpos_x_c42[1] <= 0; DSINT_AX_nbody_planetvel_y_c42[2] <= 0; DSINT_AX_nbody_planetvel_y_c42[0] <= 0; DSINT_AX_nbody_planetvel_x_c42[2] <= 0; DSINT_AX_nbody_planetvel_x_c42[0] <= 0; end 1/*1:bashint*/: begin if (((DSINT_AX_nbody_planetpos_x_c42[1]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[1]>>>3)) || ((DSINT_AX_nbody_planetpos_x_c42 [1]>>>3)<-256) || (256<(DSINT_AX_nbody_planetpos_x_c42[1]>>>3))) $display("dcol %d", 255&1+nbody_dcol); nbody_dcol <= (((DSINT_AX_nbody_planetpos_x_c42[1]>>>3)<-256) || (256<(DSINT_AX_nbody_planetpos_x_c42[1]>>>3)) || ((DSINT_AX_nbody_planetpos_x_c42[1]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[1]>>>3))? 255&1+nbody_dcol: nbody_dcol ); Tnpc0_SPILL_256 <= (((DSINT_AX_nbody_planetpos_x_c42[1]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[1]>>>3))? 256 +(DSINT_AX_nbody_planetpos_x_c42[1]>>>3): ((256<(DSINT_AX_nbody_planetpos_x_c42[1]>>>3))? 512: (((DSINT_AX_nbody_planetpos_x_c42 [1]>>>3)<-256)? 0: Tnpc0_SPILL_256))); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (((DSINT_AX_nbody_planetpos_x_c42[1]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[1]>>>3)) || ((DSINT_AX_nbody_planetpos_x_c42 [1]>>>3)<-256) || (256<(DSINT_AX_nbody_planetpos_x_c42[1]>>>3))? 2/*2:xpc10:2*/: xpc10); end 2/*2:bashint*/: begin TnpcSPILL10_256 <= (((DSINT_AX_nbody_planetpos_y_c42[1]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_y_c42[1]>>>3))? 256 +(DSINT_AX_nbody_planetpos_y_c42[1]>>>3): ((256<(DSINT_AX_nbody_planetpos_y_c42[1]>>>3))? 512: (((DSINT_AX_nbody_planetpos_y_c42 [1]>>>3)<-256)? 0: TnpcSPILL10_256))); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (((DSINT_AX_nbody_planetpos_y_c42[1]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_y_c42[1]>>>3)) || ((DSINT_AX_nbody_planetpos_y_c42 [1]>>>3)<-256) || (256<(DSINT_AX_nbody_planetpos_y_c42[1]>>>3))? 3/*3:xpc10:3*/: xpc10); end 3/*3:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 4/*4:xpc10:4*/; end 4/*4:bashint*/: begin KiwiFormGraphics_setget_pixel_wdata <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_wdata: 0); KiwiFormGraphics_setget_pixel_readf <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_readf: 0); KiwiFormGraphics_setget_pixel_y <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_y: TnpcSPILL10_256 ); KiwiFormGraphics_setget_pixel_x <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_x: Tnpc0_SPILL_256 ); KiwiFormGraphics_setget_pixel_req <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_req: 1); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (KiwiFormGraphics_setget_pixel_ack? 5/*5:xpc10:5*/: (KiwiFormGraphics_setget_pixel_ack? xpc10: 6/*6:xpc10:6*/)); end 5/*5:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 4/*4:xpc10:4*/; end 6/*6:bashint*/: begin Tnpc0_SPILL_256 <= (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42 [0]>>>3))? 256+(DSINT_AX_nbody_planetpos_x_c42[0]>>>3): (KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42 [0]>>>3))? 512: (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]>>>3)<-256)? 0: Tnpc0_SPILL_256 ))); KiwiFormGraphics_setget_pixel_req <= (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]>>>3)<-256 ) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[0]>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[0]>>>3))? 0: KiwiFormGraphics_setget_pixel_req ); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (KiwiFormGraphics_setget_pixel_ack? (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0] >>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[0]>>>3)) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42 [0]>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]>>>3)<-256)? 8/*8:xpc10:8*/: xpc10 ): 7/*7:xpc10:7*/); end 7/*7:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 6/*6:xpc10:6*/; end 8/*8:bashint*/: begin TnpcSPILL10_256 <= (((DSINT_AX_nbody_planetpos_y_c42[0]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_y_c42[0]>>>3))? 256 +(DSINT_AX_nbody_planetpos_y_c42[0]>>>3): ((256<(DSINT_AX_nbody_planetpos_y_c42[0]>>>3))? 512: (((DSINT_AX_nbody_planetpos_y_c42 [0]>>>3)<-256)? 0: TnpcSPILL10_256))); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (((DSINT_AX_nbody_planetpos_y_c42[0]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_y_c42[0]>>>3)) || ((DSINT_AX_nbody_planetpos_y_c42 [0]>>>3)<-256) || (256<(DSINT_AX_nbody_planetpos_y_c42[0]>>>3))? 9/*9:xpc10:9*/: xpc10); end 9/*9:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 10/*10:xpc10:10*/; end 10/*10:bashint*/: begin KiwiFormGraphics_setget_pixel_wdata <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_wdata: 192); KiwiFormGraphics_setget_pixel_readf <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_readf: 0); KiwiFormGraphics_setget_pixel_y <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_y: TnpcSPILL10_256 ); KiwiFormGraphics_setget_pixel_x <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_x: Tnpc0_SPILL_256 ); KiwiFormGraphics_setget_pixel_req <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_req: 1); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (KiwiFormGraphics_setget_pixel_ack? 11/*11:xpc10:11*/: (KiwiFormGraphics_setget_pixel_ack? xpc10: 12/*12:xpc10:12*/)); end 11/*11:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 10/*10:xpc10:10*/; end 12/*12:bashint*/: begin Tnpc2_SPILL_256 <= (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3))? 256+(DSINT_AX_nbody_planetpos_x_c42 [0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3): (KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42 [0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3))? 512: (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42 [0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3)<-256)? 0: Tnpc2_SPILL_256))); KiwiFormGraphics_setget_pixel_req <= (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)<-256) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3))? 0: KiwiFormGraphics_setget_pixel_req ); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (KiwiFormGraphics_setget_pixel_ack? (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0] +(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)<-256)? 14/*14:xpc10:14*/: xpc10): 13/*13:xpc10:13*/); DSINT_AX_nbody_planetpos_y_c42[0] <= (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)<-256) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3))? (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3)<-256) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42 [0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3))? DSINT_AX_nbody_planetpos_y_c42[0]+(DSINT_AX_nbody_planetvel_y_c42[0 ]>>>10): -939): DSINT_AX_nbody_planetpos_y_c42[0]); DSINT_AX_nbody_planetpos_x_c42[0] <= (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)<-256) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42 [0]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3))? (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3)<-256) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42 [0]+(DSINT_AX_nbody_planetvel_x_c42[0]>>>10)>>>3))? DSINT_AX_nbody_planetpos_x_c42[0]+(DSINT_AX_nbody_planetvel_x_c42[0 ]>>>10): 1939): DSINT_AX_nbody_planetpos_x_c42[0]); end 13/*13:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 12/*12:xpc10:12*/; end 14/*14:bashint*/: begin TnpcSPILL12_256 <= (((DSINT_AX_nbody_planetpos_y_c42[0]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_y_c42[0]>>>3))? 256 +(DSINT_AX_nbody_planetpos_y_c42[0]>>>3): ((256<(DSINT_AX_nbody_planetpos_y_c42[0]>>>3))? 512: (((DSINT_AX_nbody_planetpos_y_c42 [0]>>>3)<-256)? 0: TnpcSPILL12_256))); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (((DSINT_AX_nbody_planetpos_y_c42[0]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_y_c42[0]>>>3)) || ((DSINT_AX_nbody_planetpos_y_c42 [0]>>>3)<-256) || (256<(DSINT_AX_nbody_planetpos_y_c42[0]>>>3))? 15/*15:xpc10:15*/: xpc10); end 15/*15:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 16/*16:xpc10:16*/; end 16/*16:bashint*/: begin KiwiFormGraphics_setget_pixel_wdata <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_wdata: 255&192 +(nbody_dcol>>5)); KiwiFormGraphics_setget_pixel_readf <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_readf: 0); KiwiFormGraphics_setget_pixel_y <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_y: TnpcSPILL12_256 ); KiwiFormGraphics_setget_pixel_x <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_x: Tnpc2_SPILL_256 ); KiwiFormGraphics_setget_pixel_req <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_req: 1); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (KiwiFormGraphics_setget_pixel_ack? 17/*17:xpc10:17*/: (KiwiFormGraphics_setget_pixel_ack? xpc10: 18/*18:xpc10:18*/)); end 17/*17:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 16/*16:xpc10:16*/; end 18/*18:bashint*/: begin TnpcSPILL14_256 <= (KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[0]>=-2048) && (DSINT_AX_nbody_planetpos_x_c42 [0]<2048)? DSINT_AX_nbody_planetpos_x_c42[0]: (KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[0]>= 2048)? 2047: (KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[0]<-2048)? -2048: TnpcSPILL14_256))); KiwiFormGraphics_setget_pixel_req <= (KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[0]<-2048) || KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[0]>=2048) || KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[0]>=-2048) && (DSINT_AX_nbody_planetpos_x_c42[0]<2048)? 0: KiwiFormGraphics_setget_pixel_req ); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (KiwiFormGraphics_setget_pixel_ack? (KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[0]>= -2048) && (DSINT_AX_nbody_planetpos_x_c42[0]<2048) || KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42 [0]>=2048) || KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[0]<-2048)? 20/*20:xpc10:20*/: xpc10): 19 /*19:xpc10:19*/); end 19/*19:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 18/*18:xpc10:18*/; end 20/*20:bashint*/: begin TnpcSPILL16_256 <= ((DSINT_AX_nbody_planetpos_y_c42[0]>=-2048) && (DSINT_AX_nbody_planetpos_y_c42[0]<2048)? DSINT_AX_nbody_planetpos_y_c42 [0]: ((DSINT_AX_nbody_planetpos_y_c42[0]<2048)? ((DSINT_AX_nbody_planetpos_y_c42[0]<-2048)? -2048: TnpcSPILL16_256): 2047 )); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= ((DSINT_AX_nbody_planetpos_y_c42[0]>=-2048) && (DSINT_AX_nbody_planetpos_y_c42[0]<2048) || (DSINT_AX_nbody_planetpos_y_c42 [0]<-2048) || (DSINT_AX_nbody_planetpos_y_c42[0]>=2048)? 21/*21:xpc10:21*/: xpc10); DSINT_AX_nbody_planetpos_x_c42[0] <= ((DSINT_AX_nbody_planetpos_y_c42[0]<-2048) || (DSINT_AX_nbody_planetpos_y_c42[0]>= 2048) || (DSINT_AX_nbody_planetpos_y_c42[0]>=-2048) && (DSINT_AX_nbody_planetpos_y_c42[0]<2048)? ((DSINT_AX_nbody_planetpos_y_c42 [0]<-2048) || (DSINT_AX_nbody_planetpos_y_c42[0]>=2048) || (DSINT_AX_nbody_planetpos_y_c42[0]>=-2048) && (DSINT_AX_nbody_planetpos_y_c42 [0]<2048)? TnpcSPILL14_256: 1939): DSINT_AX_nbody_planetpos_x_c42[0]); end 21/*21:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpru1_15_V_2 <= 0; Tnpru1_15_V_0 <= 0; Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); Tnpru1_15_V_1 <= 0; xpc10 <= 22/*22:xpc10:22*/; DSINT_AX_nbody_planetpos_y_c42[0] <= TnpcSPILL16_256; end 22/*22:bashint*/: begin Tnpc0_SPILL_256 <= ((Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42[2]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42 [2]>>>3))? 256+(DSINT_AX_nbody_planetpos_x_c42[2]>>>3): ((Tnpru1_15_V_2>=3) && (256<(DSINT_AX_nbody_planetpos_x_c42[2]>>> 3))? 512: ((Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42[2]>>>3)<-256)? 0: Tnpc0_SPILL_256))); Tnpd6_SPILL_256 <= ((Tnpru1_15_V_2<3) && (Tnpru1_15_V_2!=1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]+(0-DSINT_AX_nbody_planetpos_x_c42[0])>=0) && (DSINT_AX_nbody_planetpos_x_c42[0]!=DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]])? DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]+(0-DSINT_AX_nbody_planetpos_x_c42 [0]): ((Tnpru1_15_V_2<3) && (Tnpru1_15_V_2!=1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]+(0-DSINT_AX_nbody_planetpos_x_c42[0])<0) && (DSINT_AX_nbody_planetpos_x_c42[0]!=DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]])? DSINT_AX_nbody_planetpos_x_c42[0]+(0-DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]): Tnpd6_SPILL_256)); Tnpdi6_9_V_0 <= (Tnpru1_15_V_2<3) && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2!=1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]+(0-DSINT_AX_nbody_planetpos_x_c42[0])<0) && (DSINT_AX_nbody_planetpos_x_c42[0]!=DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || (Tnpru1_15_V_2<3) && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2!= 1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]+(0-DSINT_AX_nbody_planetpos_x_c42 [0])<0) && (DSINT_AX_nbody_planetpos_x_c42[0]!=DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || (Tnpru1_15_V_2<3) && (Tnpru1_15_V_2!=1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]+(0-DSINT_AX_nbody_planetpos_x_c42[0])<0) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]!=DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || (Tnpru1_15_V_2<3) && (Tnpru1_15_V_2!=1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]+(0-DSINT_AX_nbody_planetpos_x_c42[0])<0) && (DSINT_AX_nbody_planetpos_x_c42[0]!=DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= ((Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42[2]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42 [2]>>>3)) || (Tnpru1_15_V_2>=3) && (256<(DSINT_AX_nbody_planetpos_x_c42[2]>>>3)) || (Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42 [2]>>>3)<-256)? 23/*23:xpc10:23*/: ((Tnpru1_15_V_2==1/*1:bashint*/)? 46/*46:xpc10:46*/: ((Tnpru1_15_V_2<3) && (Tnpru1_15_V_2 !=1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]!=DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]])? 47/*47:xpc10:47*/: ((Tnpru1_15_V_2<3) && (Tnpru1_15_V_2!=1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]])? 50/*50:xpc10:50*/: xpc10)))); DSINT_AX_nbody_planetvel_y_c42[0] <= ((Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42[2]>>>3)<-256) || (Tnpru1_15_V_2 >=3) && (256<(DSINT_AX_nbody_planetpos_x_c42[2]>>>3)) || (Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42[2]>>>3)>= -256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[2]>>>3))? ((Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42[2]>>>3 )<-256) || (Tnpru1_15_V_2>=3) && (256<(DSINT_AX_nbody_planetpos_x_c42[2]>>>3)) || (Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42 [2]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[2]>>>3))? Tnpru1_15_V_1+DSINT_AX_nbody_planetvel_y_c42[0]: 0): DSINT_AX_nbody_planetvel_y_c42 [0]); DSINT_AX_nbody_planetvel_x_c42[0] <= ((Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42[2]>>>3)<-256) || (Tnpru1_15_V_2 >=3) && (256<(DSINT_AX_nbody_planetpos_x_c42[2]>>>3)) || (Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42[2]>>>3)>= -256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[2]>>>3))? ((Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42[2]>>>3 )<-256) || (Tnpru1_15_V_2>=3) && (256<(DSINT_AX_nbody_planetpos_x_c42[2]>>>3)) || (Tnpru1_15_V_2>=3) && ((DSINT_AX_nbody_planetpos_x_c42 [2]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[2]>>>3))? Tnpru1_15_V_0+DSINT_AX_nbody_planetvel_x_c42[0]: 0): DSINT_AX_nbody_planetvel_x_c42 [0]); end 23/*23:bashint*/: begin TnpcSPILL10_256 <= (((DSINT_AX_nbody_planetpos_y_c42[2]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_y_c42[2]>>>3))? 256 +(DSINT_AX_nbody_planetpos_y_c42[2]>>>3): ((256<(DSINT_AX_nbody_planetpos_y_c42[2]>>>3))? 512: (((DSINT_AX_nbody_planetpos_y_c42 [2]>>>3)<-256)? 0: TnpcSPILL10_256))); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (((DSINT_AX_nbody_planetpos_y_c42[2]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_y_c42[2]>>>3)) || ((DSINT_AX_nbody_planetpos_y_c42 [2]>>>3)<-256) || (256<(DSINT_AX_nbody_planetpos_y_c42[2]>>>3))? 24/*24:xpc10:24*/: xpc10); end 24/*24:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 25/*25:xpc10:25*/; end 25/*25:bashint*/: begin KiwiFormGraphics_setget_pixel_wdata <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_wdata: 128); KiwiFormGraphics_setget_pixel_readf <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_readf: 0); KiwiFormGraphics_setget_pixel_y <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_y: TnpcSPILL10_256 ); KiwiFormGraphics_setget_pixel_x <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_x: Tnpc0_SPILL_256 ); KiwiFormGraphics_setget_pixel_req <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_req: 1); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (KiwiFormGraphics_setget_pixel_ack? 26/*26:xpc10:26*/: (KiwiFormGraphics_setget_pixel_ack? xpc10: 27/*27:xpc10:27*/)); end 26/*26:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 25/*25:xpc10:25*/; end 27/*27:bashint*/: begin Tnpc2_SPILL_256 <= (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3))? 256+(DSINT_AX_nbody_planetpos_x_c42 [2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3): (KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42 [2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3))? 512: (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42 [2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3)<-256)? 0: Tnpc2_SPILL_256))); KiwiFormGraphics_setget_pixel_req <= (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)<-256) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3))? 0: KiwiFormGraphics_setget_pixel_req ); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (KiwiFormGraphics_setget_pixel_ack? (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2] +(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)<-256)? 29/*29:xpc10:29*/: xpc10): 28/*28:xpc10:28*/); DSINT_AX_nbody_planetpos_y_c42[2] <= (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)<-256) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3))? (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3)<-256) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42 [2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3))? DSINT_AX_nbody_planetpos_y_c42[2]+(DSINT_AX_nbody_planetvel_y_c42[2 ]>>>10): -853): DSINT_AX_nbody_planetpos_y_c42[2]); DSINT_AX_nbody_planetpos_x_c42[2] <= (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)<-256) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42 [2]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3))? (KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3)<-256) || KiwiFormGraphics_setget_pixel_ack && (256<(DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3)) || KiwiFormGraphics_setget_pixel_ack && ((DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_x_c42 [2]+(DSINT_AX_nbody_planetvel_x_c42[2]>>>10)>>>3))? DSINT_AX_nbody_planetpos_x_c42[2]+(DSINT_AX_nbody_planetvel_x_c42[2 ]>>>10): 806): DSINT_AX_nbody_planetpos_x_c42[2]); end 28/*28:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 27/*27:xpc10:27*/; end 29/*29:bashint*/: begin TnpcSPILL12_256 <= (((DSINT_AX_nbody_planetpos_y_c42[2]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_y_c42[2]>>>3))? 256 +(DSINT_AX_nbody_planetpos_y_c42[2]>>>3): ((256<(DSINT_AX_nbody_planetpos_y_c42[2]>>>3))? 512: (((DSINT_AX_nbody_planetpos_y_c42 [2]>>>3)<-256)? 0: TnpcSPILL12_256))); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (((DSINT_AX_nbody_planetpos_y_c42[2]>>>3)>=-256) && (256>=(DSINT_AX_nbody_planetpos_y_c42[2]>>>3)) || ((DSINT_AX_nbody_planetpos_y_c42 [2]>>>3)<-256) || (256<(DSINT_AX_nbody_planetpos_y_c42[2]>>>3))? 30/*30:xpc10:30*/: xpc10); end 30/*30:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 31/*31:xpc10:31*/; end 31/*31:bashint*/: begin KiwiFormGraphics_setget_pixel_wdata <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_wdata: 255&384 +(nbody_dcol>>5)); KiwiFormGraphics_setget_pixel_readf <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_readf: 0); KiwiFormGraphics_setget_pixel_y <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_y: TnpcSPILL12_256 ); KiwiFormGraphics_setget_pixel_x <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_x: Tnpc2_SPILL_256 ); KiwiFormGraphics_setget_pixel_req <= (KiwiFormGraphics_setget_pixel_ack? KiwiFormGraphics_setget_pixel_req: 1); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (KiwiFormGraphics_setget_pixel_ack? 32/*32:xpc10:32*/: (KiwiFormGraphics_setget_pixel_ack? xpc10: 33/*33:xpc10:33*/)); end 32/*32:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 31/*31:xpc10:31*/; end 33/*33:bashint*/: begin TnpcSPILL14_256 <= (KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[2]>=-2048) && (DSINT_AX_nbody_planetpos_x_c42 [2]<2048)? DSINT_AX_nbody_planetpos_x_c42[2]: (KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[2]>= 2048)? 2047: (KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[2]<-2048)? -2048: TnpcSPILL14_256))); KiwiFormGraphics_setget_pixel_req <= (KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[2]<-2048) || KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[2]>=2048) || KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[2]>=-2048) && (DSINT_AX_nbody_planetpos_x_c42[2]<2048)? 0: KiwiFormGraphics_setget_pixel_req ); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= (KiwiFormGraphics_setget_pixel_ack? (KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[2]>= -2048) && (DSINT_AX_nbody_planetpos_x_c42[2]<2048) || KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42 [2]>=2048) || KiwiFormGraphics_setget_pixel_ack && (DSINT_AX_nbody_planetpos_x_c42[2]<-2048)? 35/*35:xpc10:35*/: xpc10): 34 /*34:xpc10:34*/); end 34/*34:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 33/*33:xpc10:33*/; end 35/*35:bashint*/: begin TnpcSPILL16_256 <= ((DSINT_AX_nbody_planetpos_y_c42[2]>=-2048) && (DSINT_AX_nbody_planetpos_y_c42[2]<2048)? DSINT_AX_nbody_planetpos_y_c42 [2]: ((DSINT_AX_nbody_planetpos_y_c42[2]<2048)? ((DSINT_AX_nbody_planetpos_y_c42[2]<-2048)? -2048: TnpcSPILL16_256): 2047 )); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= ((DSINT_AX_nbody_planetpos_y_c42[2]>=-2048) && (DSINT_AX_nbody_planetpos_y_c42[2]<2048) || (DSINT_AX_nbody_planetpos_y_c42 [2]<-2048) || (DSINT_AX_nbody_planetpos_y_c42[2]>=2048)? 36/*36:xpc10:36*/: xpc10); DSINT_AX_nbody_planetpos_x_c42[2] <= ((DSINT_AX_nbody_planetpos_y_c42[2]<-2048) || (DSINT_AX_nbody_planetpos_y_c42[2]>= 2048) || (DSINT_AX_nbody_planetpos_y_c42[2]>=-2048) && (DSINT_AX_nbody_planetpos_y_c42[2]<2048)? ((DSINT_AX_nbody_planetpos_y_c42 [2]<-2048) || (DSINT_AX_nbody_planetpos_y_c42[2]>=2048) || (DSINT_AX_nbody_planetpos_y_c42[2]>=-2048) && (DSINT_AX_nbody_planetpos_y_c42 [2]<2048)? TnpcSPILL14_256: 806): DSINT_AX_nbody_planetpos_x_c42[2]); end 36/*36:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpru1_18_V_2 <= 0; Tnpru1_18_V_0 <= 0; Tnpru1_18_V_1 <= 0; Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 37/*37:xpc10:37*/; DSINT_AX_nbody_planetpos_y_c42[2] <= TnpcSPILL16_256; end 37/*37:bashint*/: begin Tnpd6_SPILL_256 <= ((Tnpru1_18_V_2<3) && (Tnpru1_18_V_2!=2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]+(0-DSINT_AX_nbody_planetpos_x_c42[2])>=0) && (DSINT_AX_nbody_planetpos_x_c42[2]!=DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]])? DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]+(0-DSINT_AX_nbody_planetpos_x_c42 [2]): ((Tnpru1_18_V_2<3) && (Tnpru1_18_V_2!=2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]+(0-DSINT_AX_nbody_planetpos_x_c42[2])<0) && (DSINT_AX_nbody_planetpos_x_c42[2]!=DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]])? DSINT_AX_nbody_planetpos_x_c42[2]+(0-DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]): Tnpd6_SPILL_256)); Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || (Tnpru1_18_V_2<3) && (Tnpru1_18_V_2!=2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]+(0-DSINT_AX_nbody_planetpos_x_c42[2])<0) && (DSINT_AX_nbody_planetpos_x_c42[2]!=DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= ((Tnpru1_18_V_2<3)? ((Tnpru1_18_V_2==2/*2:bashint*/)? 38/*38:xpc10:38*/: ((Tnpru1_18_V_2<3) && (Tnpru1_18_V_2 !=2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]!=DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]])? 39/*39:xpc10:39*/: ((Tnpru1_18_V_2<3) && (Tnpru1_18_V_2!=2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]])? 42/*42:xpc10:42*/: xpc10))): 1/*1:xpc10:1*/); DSINT_AX_nbody_planetvel_y_c42[2] <= ((Tnpru1_18_V_2<3)? DSINT_AX_nbody_planetvel_y_c42[2]: ((Tnpru1_18_V_2<3)? 0: Tnpru1_18_V_1 +DSINT_AX_nbody_planetvel_y_c42[2])); DSINT_AX_nbody_planetvel_x_c42[2] <= ((Tnpru1_18_V_2<3)? DSINT_AX_nbody_planetvel_x_c42[2]: ((Tnpru1_18_V_2<3)? 0: Tnpru1_18_V_0 +DSINT_AX_nbody_planetvel_x_c42[2])); end 38/*38:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpru1_18_V_2 <= 1+Tnpru1_18_V_2; Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 37/*37:xpc10:37*/; end 39/*39:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi6_9_V_1 <= ((Tnpd6_SPILL_256<200)? ((Tnpd6_SPILL_256>=100) && (Tnpd6_SPILL_256<200)? 327: ((Tnpd6_SPILL_256>=64) && (Tnpd6_SPILL_256<100)? 655: ((Tnpd6_SPILL_256>=32) && (Tnpd6_SPILL_256<64)? 1024: ((Tnpd6_SPILL_256>=28) && (Tnpd6_SPILL_256 <32)? 2048: ((Tnpd6_SPILL_256>=16) && (Tnpd6_SPILL_256<28)? 2340: ((Tnpd6_SPILL_256>=12) && (Tnpd6_SPILL_256<16)? 4096: ((Tnpd6_SPILL_256 >=7) && (Tnpd6_SPILL_256<12)? 5461: ((Tnpd6_SPILL_256>=4) && (Tnpd6_SPILL_256<7)? 9362: ((Tnpd6_SPILL_256>=3) && (Tnpd6_SPILL_256 <4)? 16384: ((Tnpd6_SPILL_256>=2) && (Tnpd6_SPILL_256<3)? 21845: ((Tnpd6_SPILL_256<2)? 32768: Tnpdi6_9_V_1))))))))))): 163 ); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= ((Tnpd6_SPILL_256>=200) || (Tnpd6_SPILL_256>=100) && (Tnpd6_SPILL_256<200) || (Tnpd6_SPILL_256>=64) && (Tnpd6_SPILL_256 <100) || (Tnpd6_SPILL_256>=32) && (Tnpd6_SPILL_256<64) || (Tnpd6_SPILL_256>=28) && (Tnpd6_SPILL_256<32) || (Tnpd6_SPILL_256 >=16) && (Tnpd6_SPILL_256<28) || (Tnpd6_SPILL_256>=12) && (Tnpd6_SPILL_256<16) || (Tnpd6_SPILL_256>=7) && (Tnpd6_SPILL_256 <12) || (Tnpd6_SPILL_256>=4) && (Tnpd6_SPILL_256<7) || (Tnpd6_SPILL_256>=3) && (Tnpd6_SPILL_256<4) || (Tnpd6_SPILL_256>= 2) && (Tnpd6_SPILL_256<3) || (Tnpd6_SPILL_256<2)? 40/*40:xpc10:40*/: xpc10); end 40/*40:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpd6_SPILL_257 <= (Tnpdi6_9_V_0? (Tnpdi6_9_V_0? (0-Tnpdi6_9_V_1): Tnpd6_SPILL_257): Tnpdi6_9_V_1); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 41/*41:xpc10:41*/; end 41/*41:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpru1_18_V_0 <= Tnpru1_18_V_0+Tnpd6_SPILL_257; Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 42/*42:xpc10:42*/; end 42/*42:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpd8_SPILL_256 <= ((DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]+(0-DSINT_AX_nbody_planetpos_y_c42 [2])>=0) && (DSINT_AX_nbody_planetpos_y_c42[2]!=DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2]])? DSINT_AX_nbody_planetpos_y_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]+(0-DSINT_AX_nbody_planetpos_y_c42[2]): ((DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]+(0-DSINT_AX_nbody_planetpos_y_c42[2])<0) && (DSINT_AX_nbody_planetpos_y_c42[2]!=DSINT_AX_nbody_planetpos_y_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]])? DSINT_AX_nbody_planetpos_y_c42[2]+(0-DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]): Tnpd8_SPILL_256)); Tnpdi8_9_V_0 <= (DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]+(0-DSINT_AX_nbody_planetpos_y_c42[2 ])<0) && (DSINT_AX_nbody_planetpos_y_c42[2]!=DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || (DSINT_AX_nbody_planetpos_y_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]+(0-DSINT_AX_nbody_planetpos_y_c42[2])<0) && (DSINT_AX_nbody_planetpos_y_c42[2]!=DSINT_AX_nbody_planetpos_y_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= ((DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2]])? ((DSINT_AX_nbody_planetpos_y_c42 [2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2]])? 38/*38:xpc10:38*/: xpc10): 43/*43:xpc10:43*/); end 43/*43:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); Tnpdi8_9_V_1 <= ((Tnpd8_SPILL_256<200)? ((Tnpd8_SPILL_256>=100) && (Tnpd8_SPILL_256<200)? 327: ((Tnpd8_SPILL_256>=64) && (Tnpd8_SPILL_256<100)? 655: ((Tnpd8_SPILL_256>=32) && (Tnpd8_SPILL_256<64)? 1024: ((Tnpd8_SPILL_256>=28) && (Tnpd8_SPILL_256 <32)? 2048: ((Tnpd8_SPILL_256>=16) && (Tnpd8_SPILL_256<28)? 2340: ((Tnpd8_SPILL_256>=12) && (Tnpd8_SPILL_256<16)? 4096: ((Tnpd8_SPILL_256 >=7) && (Tnpd8_SPILL_256<12)? 5461: ((Tnpd8_SPILL_256>=4) && (Tnpd8_SPILL_256<7)? 9362: ((Tnpd8_SPILL_256>=3) && (Tnpd8_SPILL_256 <4)? 16384: ((Tnpd8_SPILL_256>=2) && (Tnpd8_SPILL_256<3)? 21845: ((Tnpd8_SPILL_256<2)? 32768: Tnpdi8_9_V_1))))))))))): 163 ); xpc10 <= ((Tnpd8_SPILL_256>=200) || (Tnpd8_SPILL_256>=100) && (Tnpd8_SPILL_256<200) || (Tnpd8_SPILL_256>=64) && (Tnpd8_SPILL_256 <100) || (Tnpd8_SPILL_256>=32) && (Tnpd8_SPILL_256<64) || (Tnpd8_SPILL_256>=28) && (Tnpd8_SPILL_256<32) || (Tnpd8_SPILL_256 >=16) && (Tnpd8_SPILL_256<28) || (Tnpd8_SPILL_256>=12) && (Tnpd8_SPILL_256<16) || (Tnpd8_SPILL_256>=7) && (Tnpd8_SPILL_256 <12) || (Tnpd8_SPILL_256>=4) && (Tnpd8_SPILL_256<7) || (Tnpd8_SPILL_256>=3) && (Tnpd8_SPILL_256<4) || (Tnpd8_SPILL_256>= 2) && (Tnpd8_SPILL_256<3) || (Tnpd8_SPILL_256<2)? 44/*44:xpc10:44*/: xpc10); end 44/*44:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); Tnpd8_SPILL_257 <= (Tnpdi8_9_V_0? (Tnpdi8_9_V_0? (0-Tnpdi8_9_V_1): Tnpd8_SPILL_257): Tnpdi8_9_V_1); xpc10 <= 45/*45:xpc10:45*/; end 45/*45:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpru1_18_V_1 <= Tnpru1_18_V_1+Tnpd8_SPILL_257; Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 38/*38:xpc10:38*/; end 46/*46:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpru1_15_V_2 <= 1+Tnpru1_15_V_2; Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 22/*22:xpc10:22*/; end 47/*47:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi6_9_V_1 <= ((Tnpd6_SPILL_256<200)? ((Tnpd6_SPILL_256>=100) && (Tnpd6_SPILL_256<200)? 327: ((Tnpd6_SPILL_256>=64) && (Tnpd6_SPILL_256<100)? 655: ((Tnpd6_SPILL_256>=32) && (Tnpd6_SPILL_256<64)? 1024: ((Tnpd6_SPILL_256>=28) && (Tnpd6_SPILL_256 <32)? 2048: ((Tnpd6_SPILL_256>=16) && (Tnpd6_SPILL_256<28)? 2340: ((Tnpd6_SPILL_256>=12) && (Tnpd6_SPILL_256<16)? 4096: ((Tnpd6_SPILL_256 >=7) && (Tnpd6_SPILL_256<12)? 5461: ((Tnpd6_SPILL_256>=4) && (Tnpd6_SPILL_256<7)? 9362: ((Tnpd6_SPILL_256>=3) && (Tnpd6_SPILL_256 <4)? 16384: ((Tnpd6_SPILL_256>=2) && (Tnpd6_SPILL_256<3)? 21845: ((Tnpd6_SPILL_256<2)? 32768: Tnpdi6_9_V_1))))))))))): 163 ); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= ((Tnpd6_SPILL_256>=200) || (Tnpd6_SPILL_256>=100) && (Tnpd6_SPILL_256<200) || (Tnpd6_SPILL_256>=64) && (Tnpd6_SPILL_256 <100) || (Tnpd6_SPILL_256>=32) && (Tnpd6_SPILL_256<64) || (Tnpd6_SPILL_256>=28) && (Tnpd6_SPILL_256<32) || (Tnpd6_SPILL_256 >=16) && (Tnpd6_SPILL_256<28) || (Tnpd6_SPILL_256>=12) && (Tnpd6_SPILL_256<16) || (Tnpd6_SPILL_256>=7) && (Tnpd6_SPILL_256 <12) || (Tnpd6_SPILL_256>=4) && (Tnpd6_SPILL_256<7) || (Tnpd6_SPILL_256>=3) && (Tnpd6_SPILL_256<4) || (Tnpd6_SPILL_256>= 2) && (Tnpd6_SPILL_256<3) || (Tnpd6_SPILL_256<2)? 48/*48:xpc10:48*/: xpc10); end 48/*48:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpd6_SPILL_257 <= (Tnpdi6_9_V_0? (Tnpdi6_9_V_0? (0-Tnpdi6_9_V_1): Tnpd6_SPILL_257): Tnpdi6_9_V_1); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 49/*49:xpc10:49*/; end 49/*49:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpru1_15_V_0 <= Tnpru1_15_V_0+Tnpd6_SPILL_257; Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); xpc10 <= 50/*50:xpc10:50*/; end 50/*50:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpd8_SPILL_256 <= ((DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]+(0-DSINT_AX_nbody_planetpos_y_c42 [0])>=0) && (DSINT_AX_nbody_planetpos_y_c42[0]!=DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]])? DSINT_AX_nbody_planetpos_y_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]+(0-DSINT_AX_nbody_planetpos_y_c42[0]): ((DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]+(0-DSINT_AX_nbody_planetpos_y_c42[0])<0) && (DSINT_AX_nbody_planetpos_y_c42[0]!=DSINT_AX_nbody_planetpos_y_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]])? DSINT_AX_nbody_planetpos_y_c42[0]+(0-DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]): Tnpd8_SPILL_256)); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || (DSINT_AX_nbody_planetpos_y_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]+(0-DSINT_AX_nbody_planetpos_y_c42[0])<0) && (DSINT_AX_nbody_planetpos_y_c42[0]!=DSINT_AX_nbody_planetpos_y_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]); xpc10 <= ((DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]])? ((DSINT_AX_nbody_planetpos_y_c42 [0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]])? 46/*46:xpc10:46*/: xpc10): 51/*51:xpc10:51*/); end 51/*51:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); Tnpdi8_9_V_1 <= ((Tnpd8_SPILL_256<200)? ((Tnpd8_SPILL_256>=100) && (Tnpd8_SPILL_256<200)? 327: ((Tnpd8_SPILL_256>=64) && (Tnpd8_SPILL_256<100)? 655: ((Tnpd8_SPILL_256>=32) && (Tnpd8_SPILL_256<64)? 1024: ((Tnpd8_SPILL_256>=28) && (Tnpd8_SPILL_256 <32)? 2048: ((Tnpd8_SPILL_256>=16) && (Tnpd8_SPILL_256<28)? 2340: ((Tnpd8_SPILL_256>=12) && (Tnpd8_SPILL_256<16)? 4096: ((Tnpd8_SPILL_256 >=7) && (Tnpd8_SPILL_256<12)? 5461: ((Tnpd8_SPILL_256>=4) && (Tnpd8_SPILL_256<7)? 9362: ((Tnpd8_SPILL_256>=3) && (Tnpd8_SPILL_256 <4)? 16384: ((Tnpd8_SPILL_256>=2) && (Tnpd8_SPILL_256<3)? 21845: ((Tnpd8_SPILL_256<2)? 32768: Tnpdi8_9_V_1))))))))))): 163 ); xpc10 <= ((Tnpd8_SPILL_256>=200) || (Tnpd8_SPILL_256>=100) && (Tnpd8_SPILL_256<200) || (Tnpd8_SPILL_256>=64) && (Tnpd8_SPILL_256 <100) || (Tnpd8_SPILL_256>=32) && (Tnpd8_SPILL_256<64) || (Tnpd8_SPILL_256>=28) && (Tnpd8_SPILL_256<32) || (Tnpd8_SPILL_256 >=16) && (Tnpd8_SPILL_256<28) || (Tnpd8_SPILL_256>=12) && (Tnpd8_SPILL_256<16) || (Tnpd8_SPILL_256>=7) && (Tnpd8_SPILL_256 <12) || (Tnpd8_SPILL_256>=4) && (Tnpd8_SPILL_256<7) || (Tnpd8_SPILL_256>=3) && (Tnpd8_SPILL_256<4) || (Tnpd8_SPILL_256>= 2) && (Tnpd8_SPILL_256<3) || (Tnpd8_SPILL_256<2)? 52/*52:xpc10:52*/: xpc10); end 52/*52:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); Tnpd8_SPILL_257 <= (Tnpdi8_9_V_0? (Tnpdi8_9_V_0? (0-Tnpdi8_9_V_1): Tnpd8_SPILL_257): Tnpdi8_9_V_1); xpc10 <= 53/*53:xpc10:53*/; end 53/*53:bashint*/: begin Tnpdi6_9_V_0 <= Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42 [0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2>=3) && (Tnpru1_15_V_2 ==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2>=3) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_18_V_2==2/*2:bashint*/) && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (Tnpru1_18_V_2==2/*2:bashint*/) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42[nbplanet_AX_CC_SOL [Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2>=3) && (DSINT_AX_nbody_planetpos_x_c42[2]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_18_V_2]]) || Tnpdi6_9_V_0 || Tnpdi6_9_V_0 && (DSINT_AX_nbody_planetpos_x_c42[0]==DSINT_AX_nbody_planetpos_x_c42 [nbplanet_AX_CC_SOL[Tnpru1_15_V_2]]) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2==1/*1:bashint*/) || Tnpdi6_9_V_0 && (Tnpru1_15_V_2 >=3); Tnpdi8_9_V_0 <= Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_18_V_2 ]]) || Tnpdi8_9_V_0 || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[2]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL [Tnpru1_18_V_2]]) && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]) || Tnpdi8_9_V_0 && (DSINT_AX_nbody_planetpos_y_c42[0]==DSINT_AX_nbody_planetpos_y_c42[nbplanet_AX_CC_SOL[Tnpru1_15_V_2 ]]); Tnpru1_15_V_1 <= Tnpru1_15_V_1+Tnpd8_SPILL_257; xpc10 <= 46/*46:xpc10:46*/; end endcase //End HPR KiwiFormGraphics.shim.dll end assign #1 hprpin10 = ((Tnpd8_SPILL_256<200)? ((Tnpd8_SPILL_256>=100) && (Tnpd8_SPILL_256<200)? 327: ((Tnpd8_SPILL_256>=64) && (Tnpd8_SPILL_256<100)? 655: ((Tnpd8_SPILL_256 >=32) && (Tnpd8_SPILL_256<64)? 1024: ((Tnpd8_SPILL_256>=28) && (Tnpd8_SPILL_256<32)? 2048: ((Tnpd8_SPILL_256>=16) && (Tnpd8_SPILL_256 <28)? 2340: ((Tnpd8_SPILL_256>=12) && (Tnpd8_SPILL_256<16)? 4096: ((Tnpd8_SPILL_256>=7) && (Tnpd8_SPILL_256<12)? 5461: ((Tnpd8_SPILL_256 >=4) && (Tnpd8_SPILL_256<7)? 9362: ((Tnpd8_SPILL_256>=3) && (Tnpd8_SPILL_256<4)? 16384: ((Tnpd8_SPILL_256>=2) && (Tnpd8_SPILL_256<3)? 21845 : ((Tnpd8_SPILL_256<2)? 32768: Tnpdi8_9_V_1))))))))))): 163); assign #1 hprpin12 = ((Tnpd6_SPILL_256<200)? ((Tnpd6_SPILL_256>=100) && (Tnpd6_SPILL_256<200)? 327: ((Tnpd6_SPILL_256>=64) && (Tnpd6_SPILL_256<100)? 655: ((Tnpd6_SPILL_256 >=32) && (Tnpd6_SPILL_256<64)? 1024: ((Tnpd6_SPILL_256>=28) && (Tnpd6_SPILL_256<32)? 2048: ((Tnpd6_SPILL_256>=16) && (Tnpd6_SPILL_256 <28)? 2340: ((Tnpd6_SPILL_256>=12) && (Tnpd6_SPILL_256<16)? 4096: ((Tnpd6_SPILL_256>=7) && (Tnpd6_SPILL_256<12)? 5461: ((Tnpd6_SPILL_256 >=4) && (Tnpd6_SPILL_256<7)? 9362: ((Tnpd6_SPILL_256>=3) && (Tnpd6_SPILL_256<4)? 16384: ((Tnpd6_SPILL_256>=2) && (Tnpd6_SPILL_256<3)? 21845 : ((Tnpd6_SPILL_256<2)? 32768: Tnpdi6_9_V_1))))))))))): 163); // Total area 0 // 2 vectors of width 1 // 1 vectors of width 8 // 1 vectors of width 10 // 15 array locations of width 32 // 576 bits in scalar variables // Total state bits in module = 1076 bits. // 64 continuously assigned (wire/non-state) bits // Total number of leaf cells = 0 endmodule // LCP delay estimations included: turn off with -vnl-lcp-delay-estimate=disable // eof (HPR/LS Verilog)