David J Greaves
Computer Laboratory, University of Cambridge, UK.

Page under construction.

Layering RTL, SAFL, Handel-C and Bluespec Constructs on Chisel HCL.

White Paper

Presented at Memocode'15, The 13th ACM-IEEE International Conference on Formal Methods and Models for System Design. The University of Texas at Austin September 21-23, 2015.

`Layering RTL, SAFL, Handel-C and Bluespec Constructs on Chisel HCL.' David J Greaves, Computer Laboratory, University of Cambridge, UK. Chisel is a hardware construction language that supports a simplistic level of transactional programming via its Decoupled I/O primitives. In this paper we describe extensions that layer popular design paradigms on the Chisel substrate. We include RTL, SAFL-style functional hardware description, Handel-C message passing and Bluespec rules. We then briefly discuss interworking between these design styles.

Features

The combined feature set gives a really powerfull HDL. We have multi-cycle schedulling AND atomic actions. Wow!

Downloads

Downloads and supporting material will be placed here during the conference and in the week afterwards. Meanwhile, there is some older versions of this material on this link but still no download:

http://www.bigbrownbus.com/koo.corpus.cam.ac.old/drafts/tndjg-008-transactional-modelling-in-chisel.html.