# create work library if needed vlib work vmap work work # specify the source files to compile vlog ttc.sv vlog ttc_test.sv # specify parameters and the top-level module vsim -Gprogpath_rmb=add.rmb -Gdebug_trace=1 TestTinyComp # add waveforms to be output in hex format view wave add wave -hexadecimal dut/* # say what we want to view view structure view signals # run the simulation for 30ns run 30ns